IEICE Technical Committee Submission System
Advance Program
Online Proceedings
[Sign in]
Tech. Rep. Archives
 Go Top  Go Back   Prev SDM Conf / Next SDM Conf [HTML] / [HTML(simple)] / [TEXT]  [Japanese] / [English] 


Technical Committee on Silicon Device and Materials (SDM) [schedule] [select]
Chair Tetsuro Endo (Tohoku Univ.)
Vice Chair Yasuo Nara (Fujitsu Microelectronics)
Secretary Yukinori Ono (NTT), Katsunori Onishi (Kyushu Inst. of Tech.)
Assistant Shintaro Nomura (Univ. of Tsukuba)

Technical Committee on Integrated Circuits and Devices (ICD) [schedule] [select]
Chair Kunio Uchiyama (Hitachi)
Vice Chair Masahiko Yoshimoto (Kobe Univ.), Toshihiko Hamasaki (TI)
Secretary Yoshio Hirose (Fujitsu Labs.), Hiroaki Suzuki (Renesas)
Assistant Toshimasa Matsuoka (Osaka Univ.), Ken Takeuchi (Univ. of Tokyo), Kenichi Okada (Tokyo Inst. of Tech.)

Conference Date Thu, Aug 26, 2010 09:10 - 19:00
Fri, Aug 27, 2010 09:00 - 16:50
Topics Low voltage/low power techniques, novel devices, circuits, and applications 
Conference Place  
Copyright
and
reproduction
All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)

Thu, Aug 26 AM 
09:10 - 12:15
(1) 09:10-09:35 On-Chip Supply Resonance Noise Reduction Method for Multi-IP Cores utilizing Parasitic Capacitance of Sleep Blocks SDM2010-124 ICD2010-39 Jinmyoung Kim, Toru Nakura (Univ. of Tokyo.), Hidehiro Takata, Koichiro Ishibashi (Renesas Electronics), Makoto Ikeda, Kunihiro Asada (Univ. of Tokyo.)
(2) 09:35-10:00 An Ultra-Wide Range Bi-Directional Transceiver With Adaptive Power Control Using Background Replica VCO Gain Calibration SDM2010-125 ICD2010-40 Tsuyoshi Ebuchi, Yoshihide Komatsu, Masatomo Miura, Tomoko Chiba, Toru Iwata, Shiro Dosho, Takefumi Yoshikawa (Panasonic)
(3) 10:00-10:25 An Over 20,000 Quality Factor On-Chip Relaxation Oscillator using Voltage Averaging Feedback with a Chopped Amplifier SDM2010-126 ICD2010-41 Yusuke Tokunaga, Shiro Sakiyama, Shiro Dosho (Panasonic Corp.)
(4) 10:25-10:50 A 2.7mW 4th-Order Active Gm-RC Bandpass Filter with 60MHz Center Frequency and Digital/Analog Tuning Techniques SDM2010-127 ICD2010-42 Jingbo Shi, Takayuki Konishi, Toru Kashimura, Shoichi Masui (Tohoku Univ)
  10:50-11:00 Break ( 10 min. )
(5) 11:00-11:25 Investigation of Analog-to-Digital Converters using Time Dimension SDM2010-128 ICD2010-43 Masao Takayama, Takuji Miki, Shiro Dosho (Panasonic)
(6) 11:25-11:50 10bit-300MHz Double-Sampling Pipelined ADC with Digital Calibration for Memory Effects SDM2010-129 ICD2010-44 Takuji Miki, Takashi Morie, Toshiaki Ozeki, Shiro Dosho (Panasonic)
(7) 11:50-12:15 Pull-up/pull-down circuits with no static current consumption SDM2010-130 ICD2010-45 Tatsuya Ueno (Yamatake Corp.)
  12:15-13:00 Lunch Break ( 45 min. )
Thu, Aug 26 PM 
13:00 - 14:40
(8) 13:00-13:25 1-Tbyte/s 1-Gbit 3-D DRAM Architecture for High Throughput Computing SDM2010-131 ICD2010-46 Yoshimitsu Yanagawa, Kazuo Ono, Akira Kotabe, Tomonori Sekiguchi (Hitachi)
(9) 13:25-13:50 Design Constraint of Fine Grain Supply Voltage Control LSI
-- In the case of Power Gating Technique --
SDM2010-132 ICD2010-47
Atsuki Inoue (Fujitsu Lab. Ltd.)
(10) 13:50-14:15 Design Constraint of Fine Grain Supply Voltage Control LSI
-- In the case of DVFS Technique --
SDM2010-133 ICD2010-48
Atsuki Inoue (Fujitsu Lab. Ltd.)
(11) 14:15-14:40 Power Analysis and Power Reduction Techniques of a 128GFLOPS/58W SPARC64VIIIfx Processor for Peta-scale Computing SDM2010-134 ICD2010-49 Yukihito Kawabe (Fujitsu Lab.), Hiroshi Okano, Ryuji Kan, Toshio Yoshida, Iwao Yamazaki, Hitoshi Sakurai, Mikio Hondou, Nobuyuki Matsui, Hideo Yamashita, Tatsumi Nakada, Takumi Maruyama, Takeo Asakawa (Fujitsu)
  14:40-14:50 Break ( 10 min. )
Thu, Aug 26 PM 
14:50 - 19:00
(12) 14:50-15:40 [Invited Talk]
MEMS/BEANS-Enabled Green Technology SDM2010-135 ICD2010-50
Norihisa Miki (Keio Univ./BEANS Project)
(13) 15:40-16:30 [Invited Talk]
Development of MEMS Technologies for Micro Energy Systems SDM2010-136 ICD2010-51
Yuji Suzuki (Univ. of Tokyo.)
(14) 16:30-17:20 [Invited Talk]
A Wide-Area Sensor Network with Fiber Optic Power Supply SDM2010-137 ICD2010-52
Yosuke Tanaka, Takashi Kurokawa (Tokyo Univ. of A & T)
  17:20-17:30 Break ( 10 min. )
(15) 17:30-19:00  
Fri, Aug 27 AM 
09:00 - 11:05
(16) 09:00-09:25 Post-manufacturing, 17-times Acceptable Raw Bit Error Rate Enhancement, Dynamic Codeword Transition ECC Scheme for Highly Reliable Solid-State Drives, SSDs SDM2010-138 ICD2010-53 Shuhei Tanakamaru (Univ. of Tokyo), Atsushi Esumi, Mitsuyoshi Ito, Kai Li (SIGLEAD), Ken Takeuchi (Univ. of Tokyo)
(17) 09:25-09:50 A 1.0V Power Supply, 9.5GByte/sec Write Speed, Single-Cell Self-Boost Program Scheme for Ferroelectric NAND Flash SSD SDM2010-139 ICD2010-54 Kousuke Miyaji, Shinji Noda, Teruyoshi Hatanaka (Univ. of Tokyo), Mitsue Takahashi, Shigeki Sakai (AIST), Ken Takeuchi (Univ. of Tokyo)
(18) 09:50-10:15 A 60% Higher Write Speed, 4.2Gbps, 24-Channel 3D-Solid State Drive (SSD) with NAND Flash Channel Number Detector and Intelligent Program-Voltage Booster SDM2010-140 ICD2010-55 Teruyoshi Hatanaka, Koichi Ishida, Tadashi Yasufuku (Univ. of Tokyo), Shinji Miyamoto, Hiroto Nakai (Toshiba), Makoto Takamiya, Takayasu Sakurai, Ken Takeuchi (Univ. of Tokyo)
(19) 10:15-11:05 [Invited Talk]
Development of sub-10um Thinning Technology using Actual Device Wafers SDM2010-141 ICD2010-56
Nobuhide Maeda, Kim Youngsuk (Univ. of Tokyo), Yukinobu Hikosaka, Takashi Eshita (FSL), Hideki Kitada, Koji Fujimoto (Univ. of Tokyo), Yoriko Mizushima (Fujitsu Labs.), Kousuke Suzuki (DNP), Tomoji Nakamura (Fujitsu Labs.), Akihito Kawai, Kazuhisa Arai (DISCO), Takayuki Ohba (Univ. of Tokyo)
  11:05-11:15 Break ( 10 min. )
Fri, Aug 27 AM 
11:15 - 12:30
(20) 11:15-11:40 Highly Scalable STT-MRAM with MTJs of Top-pinned Structure in 1T/1MTJ Cell Young Min Lee, Chikako Yoshida, Koji Tsunoda, Shinjiro Umehara, Masaki Aoki, Toshihiro Sugii (Fujitsu Labs, Ltd.)
(21) 11:40-12:05 Study of stacked MRAM for universal memory SDM2010-142 ICD2010-57 Shouto Tamai, Shigeyoshi Watanabe (Shonan Inst. of Tech.)
(22) 12:05-12:30 Study of stacked FeRAM using ITO channel SDM2010-143 ICD2010-58 Koichi Sugano, Shigeyoshi Watanabe (Shonan Inst. of Tech)
  12:30-13:20 Lunch Break ( 50 min. )
Fri, Aug 27 PM 
13:20 - 15:00
(23) 13:20-13:45 Direct Measurement and Analysis of Static Noise Margin in SRAM Cells Using DMA TEG SDM2010-144 ICD2010-59 Toshiro Hiramoto, Makoto Suzuki, Takuya Saraya, Ken Shimizu (Univ. of Tokyo), Akio Nishida, Shiro Kamohara, Kiyoshi Takeuchi, Tohru Mogami (MIRAI-Selete)
(24) 13:45-14:10 70% Read Margin Enhancement by VTH Mismatch Self-Repair in 6T-SRAM with Asymmetric Pass Gate Transistor by Zero Additional Cost, Post-Process, Local Electron Injection SDM2010-145 ICD2010-60 Kousuke Miyaji, Shuhei Tanakamaru, Kentaro Honda (Univ. of Tokyo), Shinji Miyano (STARC), Ken Takeuchi (Univ. of Tokyo)
(25) 14:10-14:35 A 65nm Bistable Cross-coupled Dual Modular Redundancy Flip-Flop Capable of Protecting Soft Errors on the C-element SDM2010-146 ICD2010-61 Jun Furuta (Kyoto Univ.), Chikara Hamanaka, Kazutoshi Kobayashi (Kyoto Inst. of Tech.), Hidetoshi Onodera (Kyoto Univ.)
(26) 14:35-15:00 Application of spin MOSFET to Nonvolatile and Reconfigurable LSIs SDM2010-147 ICD2010-62 Tomoaki Inokuchi, Takao Marukame, Tetsufumi Tanamoto, Hideyuki Sugiyama, Mizue Ishikawa, Yoshiaki Saito (Toshiba Corporation)
  15:00-15:10 Break ( 10 min. )
Fri, Aug 27 PM 
15:10 - 16:50
(27) 15:10-15:35 Circuit design of reconfigurable logic based on MOS double gate/Carbon Nano Tube transistor SDM2010-148 ICD2010-63 Takamichi Hayashi, Shigeyoshi Watanabe (Shonan Inst. of Tech.)
(28) 15:35-16:00 Pattern Layout Methods of System LSI with SGT SDM2010-149 ICD2010-64 Takahiro Kodama, Shigeyoshi Watanabe (Shonan Inst. of Tech.)
(29) 16:00-16:25 Random Drain Current Variation Caused by "Current-Onset Voltage" Variability in Scaled MOSFETs SDM2010-150 ICD2010-65 Tomoko Mizutani (Univ. of Tokyo), Takaaki Tsunomura (MIRAI-Selete), Anil Kumar (Univ. of Tokyo), Akio Nishida, Kiyoshi Takeuchi, Satoshi Inaba, Shiro Kamohara (MIRAI-Selete), Kazuo Terada (Hiroshima City Univ.), Tohru Mogami (MIRAI-Selete), Toshiro Hiramoto (Univ. of Tokyo/MIRAI-Selete)
(30) 16:25-16:50 On the Gate-Stack Origin Threshold Voltage Variability in Scaled FinFETs and Multi-FinFETs SDM2010-151 ICD2010-66 Yongxun Liu, Kazuhiko Endo, Shinich Ouchi (AIST), Takahiro Kamei (Meiji Univ.), Junichi Tsukada, Hiromi Yamauchi, Yuki Ishikawa (AIST), Tetsuro Hayashida (Meiji Univ.), Kunihiro Sakamoto, Takashi Matsukawa (AIST), Atsushi Ogura (Meiji Univ.), Meishoku Masahara (AIST)

Announcement for Speakers
General TalkEach speech will have 20 minutes for presentation and 5 minutes for discussion.

Contact Address and Latest Schedule Information
SDM Technical Committee on Silicon Device and Materials (SDM)   [Latest Schedule]
Contact Address Shigeru Kawanaka (Toshiba Corp.)
Tel 045-776-5670
E--mail: geba 
ICD Technical Committee on Integrated Circuits and Devices (ICD)   [Latest Schedule]
Contact Address Hiroaki Suzuki (Renesas Electronics Corp.)
TEL 072-787-2335
E--mail:aubs 


Last modified: 2010-06-22 17:43:35


Notification: Mail addresses are partially hidden against SPAM.

[Download Paper's Information (in Japanese)] <-- Press download button after click here.
 
[Cover and Index of IEICE Technical Report by Issue]
 

[Presentation and Participation FAQ] (in Japanese)
 

[Return to SDM Schedule Page]   /   [Return to ICD Schedule Page]   /  
 
 Go Top  Go Back   Prev SDM Conf / Next SDM Conf [HTML] / [HTML(simple)] / [TEXT]  [Japanese] / [English] 


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan