IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 1 - 20 of 40  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
SDM 2023-10-13
16:40
Miyagi Niche, Tohoku Univ. Formation process of GaN MOS interface suppressing interfacial oxidation
Tsurugi Kondo, Katsunori Ueno, Ryo Tanaka, Shinya Takashima, Masaharu Edo (Fuji Electric), Tomoyuki Suwa (NICHe, Tohoku Univ.) SDM2023-60
In this paper, we report the effects of MOS interfacial oxidation on electrical properties of GaN MOSFET and show improv... [more] SDM2023-60
pp.40-45
SDM 2021-10-21
16:00
Online Online Current Measurement Platform Applied for Statistical Measurement of Discharge Current due to Traps in SiN Dielectrics
Koga Saito, Hayato Suzuki, Hyeonwoo Park, Rihito Kuroda (Tohoku Univ.), Akinobu Teramoto (Hiroshima Univ.), Tomoyuki Suwa, Shigetoshi Sugawa (Tohoku Univ.) SDM2021-51
A current measurement platform to measure current across dielectrics with a high precision of $10^{-17}$ A applied for s... [more] SDM2021-51
pp.23-26
SDM 2021-06-22
13:10
Online Online [Memorial Lecture] Modification of states of metal copper and copper oxide due to isopropyl alcohol treatment
Takezo Mawaki (Tohoku Univ.), Akinobu Teramoto (Hiroshima Univ.), Katsutoshi Ishii (Tokyo Electron Technology Solutions), Yoshinobu Shiba, Tomoyuki Suwa (Tohoku Univ.), Shuji Azumo, Akira Shimizu, Kota Umezawa (Tokyo Electron Technology Solutions), Rihito Kuroda, Yasuyuki Shirai, Shigetoshi Sugawa (Tohoku Univ.) SDM2021-22
The reduction of copper oxide by isopropyl alcohol (IPA) gas and its mechanism were investigated toward the selective pr... [more] SDM2021-22
pp.1-6
SDM 2020-10-22
14:50
Online Online Modification of states of copper and copper oxide due to IPA treatment
Takezo Mawaki (Tohoku Univ.), Akinobu Teramoto (Hiroshima Univ.), Katsutoshi Ishii (Tokyo Electron Technology Solutions), Yoshinobu Shiba, Tomoyuki Suwa (Tohoku Univ.), Shuji Azumo, Akira Shimizu, Kota Umezawa (Tokyo Electron Technology Solutions), Rihito Kuroda, Yasuyuki Shirai, Shigetoshi Sugawa (Tohoku Univ.) SDM2020-19
The reduction of copper oxide by isopropyl alcohol (IPA) gas and its mechanism were investigated toward the selective pr... [more] SDM2020-19
pp.25-29
SDM 2020-10-22
15:50
Online Online Effect of Drain-to-Source Voltage on Random Telegraph Noise Based on Statistical Analysis
Ryo Akimoto, Rihito Kuroda (Tohoku Univ.), Akinobu Teramoto (Hiroshima Univ.), Takezo Mawaki, Shinya Ichino, Tomoyuki Suwa, Shigetoshi Sugawa (Tohoku Univ.) SDM2020-21
In this work, temporal noise characteristics of 11520 MOSFETs were measured for each of rectangular and trapezoidal shap... [more] SDM2020-21
pp.34-39
ED, MW 2020-01-31
16:00
Tokyo Kikai-Shinko-Kaikan Bldg. Operation Principle and Structure of normally-off Floating Gate GaN HEMT with Injection Gate
Nagumo Kenshi, Kimoto Daiki, Suwa Tomoyuki (Tohoku Univ.), Teramoto Akinobu (Hiroshima Univ.), Shirota Riichiro, Tskatani Shinichiro (NCTU), Kuroda Rihito, Sugawa Shigetoshi (Tohoku Univ.) ED2019-104 MW2019-138
We report a GaN HEMT (High Electron Mobility Transistor) with floating gate, that has an additional injection gate for c... [more] ED2019-104 MW2019-138
pp.55-58
SDM 2019-10-24
15:10
Miyagi Niche, Tohoku Univ. Resistance Measurement Platform for Statistical Evaluation of Emerging Memory Materials with High Accuracy
Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa (Tohoku Univ.) SDM2019-65
A high precision 1 Ω – 10 MΩ range resistance measurement platform is presented. The developed platform excludes on-resi... [more] SDM2019-65
pp.59-64
SDM 2019-10-24
15:40
Miyagi Niche, Tohoku Univ. Gas concentration distribution measurement in semiconductor process chamber using a high SNR CMOS absorption image sensor
Keigo Takahashi, Yhang Ricardo Sipauba Carvalho da Silva, Rihito Kuroda, Yasuyuki Fujihara, Maasa Murata, Hidekazu Ishii, Tatsuo Morimoto, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa (Tohoku Univ.) SDM2019-66
This paper reports on gas concentration imaging using lateral overflow integration trench capacitor(LOFITreC) CMOS absor... [more] SDM2019-66
pp.65-68
SDM 2018-10-18
14:00
Miyagi Niche, Tohoku Univ. Statistical Analysis of Electric Characteristics Variability Using MOSFETs with Asymmetric Source and Drain
Shinya Ichino, Akinobu Teramoto, Rihito Kuroda, Takezo Mawaki, Tomoyuki Suwa, Shigetoshi Sugawa (Tohoku Univ.) SDM2018-62
In this paper, a statistical analysis of electric characteristics variabilities such as threshold voltage variability an... [more] SDM2018-62
pp.51-56
SDM 2017-10-25
14:50
Miyagi Niche, Tohoku Univ. Experimental Investigation of Localized Stress Induced Leakage Current Distribution and its Decrease by Atomically Flattening Process
Hyeonwoo Park, Rihito Kuroda, Tetsuya Goto, Tomoyuki Suwa, Akinobu Teramoto, Daiki Kimoto, Shigetoshi Sugawa (Tohoku Univ) SDM2017-51
Stress Induced Leakage Current (SILC) distributions of a large number of small nMOS transistors with different gate size... [more] SDM2017-51
pp.9-14
SDM 2016-10-26
14:50
Miyagi Niche, Tohoku Univ. Formation technology of Flat Surface after Selective Epitaxial Growth on Ion-Implanted (100) Oriented Thin SOI Wafers
Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa (Tohoku Univ.), Daisuke Suzuki, Yoichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe (Tokyo Electron Tohoku) SDM2016-70
 [more] SDM2016-70
pp.9-14
SDM 2016-10-27
10:00
Miyagi Niche, Tohoku Univ. Effects of the oxidizing species on the interface of Al2O3 film by atomic layer deposition
Masaya Saito, Tomoyuki Suwa, Akinobu Teramoto, Rihito Kuroda, Yasumasa Koda, Hisaya Sugita, Hidekazu Ishii, Yoshinobu Shiba, Yasuyuki Shirai, Shigetoshi Sugawa (Tohoku univ.), Marie Hayashi, Junichi Tsuchimoto (CANON ANELVA) SDM2016-73
Al2O3 is a prospective high-dielectric material for the gate insulator film of the power devices and MIM (Metal-Insulato... [more] SDM2016-73
pp.27-30
SDM 2016-10-27
10:50
Miyagi Niche, Tohoku Univ. Behavior of Random Telegraph Noise toward Bias Voltage Changing
Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shinya Ichino, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa (Tohoku Univ.) SDM2016-75
As the progression of MOSFETs scaling down continues, the impacts of RTN (Random Telegraph Noise) on the MOSFETs have be... [more] SDM2016-75
pp.35-38
EID, SDM 2015-12-14
11:00
Kyoto Ryukoku University, Avanti Kyoto Hall Fabrication of FinFET Structure with High Selectivity Etching Using Newly Developed SiNx Etch Gas
Takashi Kojiri (Tohoku Univ./ZEON), Tomoyuki Suwa, Keiichi Hashimoto, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.) EID2015-9 SDM2015-92
We evaluated the properties of newly developed SiNx etch gas (SSY525). The gas indicated high selectivity as 30 ~ 60 for... [more] EID2015-9 SDM2015-92
pp.1-4
SDM 2015-10-29
15:20
Miyagi Niche, Tohoku Univ. Ultra-Low Temperature Flattening Technique of Silicon Surface Using Xe/H2 Plasma
Tomoyuki Suwa, Akinobu Teramoto, Tetsuya Goto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2015-73
In order to flatten any crystal orientation of Si surface including Si-fin-structure and to introduce the flattening pro... [more] SDM2015-73
pp.13-16
SDM 2015-10-29
16:00
Miyagi Niche, Tohoku Univ. Electrical Properties of MOSFETs Introducing Atomically Flat Gate Insulator/Silicon Interface
Tetsuya Goto, Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa (Tohoku Univ.), Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa (LAPIS Semi. Miyagi) SDM2015-74
Atomically flattening technology was introduced to the widely-used complementary metal oxide silicon (CMOS) process empl... [more] SDM2015-74
pp.17-22
SDM 2015-10-30
10:20
Miyagi Niche, Tohoku Univ. A Device Simulation Study on Tunneling and Diffusion Current Hybrid MOSFET
Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Takashi Kojiri, Shigetoshi Sugawa (Tohoku Univ.) SDM2015-77
 [more] SDM2015-77
pp.35-40
SDM 2015-10-30
15:30
Miyagi Niche, Tohoku Univ. Study of process temperature of Al2O3 atomic layer deposition using high accuracy process gasses supply controller
Hisaya Sugita, Yasumasa Koda, Tomoyuki Suwa, Rihito Kuroda, Tetsuya Goto, Hidekazu Ishii (Tohoku Univ.), Satoru Yamashita (Fujikin), Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2015-83
 [more] SDM2015-83
pp.63-68
SDM 2014-10-16
14:50
Miyagi Niche, Tohoku Univ. Introduction of Atomically Flattening of Silicon Surface in Shallow Trench Isolation Process Technology
Tetsuya Goto, Rihito Kuroda, Naoya Akagawa, Tomoyuki Suwa, Akinobu Teramoto, Xiang Li, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.), Yuki Kumagai, Yutaka Kamata, Katsuhiko Shibusawa (LAPIS Semiconductor Miyagi) SDM2014-85
Atomically flattening technology was introduced to the widely-used complementary metal oxide silicon (CMOS) process empl... [more] SDM2014-85
pp.7-12
SDM 2014-10-17
10:00
Miyagi Niche, Tohoku Univ. Study on compositional transition layers at Si3N4/Si interface formed by radical nitridation
Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2014-89
The angle-resolved Si 2p photoelectron spectra arising from the transition layers formed on the Si3N4/Si interface were ... [more] SDM2014-89
pp.31-34
 Results 1 - 20 of 40  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan