IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 1 - 20 of 52  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
VLD, DC, RECONF, ICD, IPSJ-SLDM [detail] 2023-11-15
14:40
Kumamoto Civic Auditorium Sears Home Yume Hall
(Primary: On-site, Secondary: Online)
A 183.4 nJ/inference 152.8 µW Single-Chip Wired-Logic DNN Processor for Always-On 35 Voice Commands Recognition Application
Rei Sumikawa, Atsutake Kosuge, Mototsugu Hamada, Tadahiro Kuroda (UTokyo) VLD2023-39 ICD2023-47 DC2023-46 RECONF2023-42
A 183.4-nJ/inference single-chip wired-logic DNN processor that is capable of recognizing all 35 commands defined in the... [more] VLD2023-39 ICD2023-47 DC2023-46 RECONF2023-42
pp.54-59
SDM, ICD, ITE-IST [detail] 2017-08-02
10:15
Hokkaido Hokkaido-Univ. Multimedia Education Bldg. SDM2017-43 ICD2017-31 (To be available after the conference date) [more] SDM2017-43 ICD2017-31
pp.101-106
ICD, CPSY 2016-12-15
10:30
Tokyo Tokyo Institute of Technology An Interectual Property for Wireless Inductive Coupling Through Chip Interface
Yusuke Matsushita, Koichiro Masuyama, Akio Nomura, Junichiro Kadomoto, Tsunaaki Shidei, Tadahiro Kuroda, Hideharu Amano (Keio Univ.) ICD2016-52 CPSY2016-58
 [more] ICD2016-52 CPSY2016-58
pp.7-12
ICD, MW 2016-03-02
14:55
Hiroshima Hiroshima University [Invited Talk] An implementation of a building block system with TCI (Thru-Chip Interface) using SOTB process
Hideharu Amano (Keio Univ.), Masayoshi Usami (SIT), Tadahiro Kuroda (Keio Univ.), Masaaki Kondo (Univ. of Tokyo), Yasuhiro Take (Keio Univ.), Hiroshi Nakamura (Univ. of Tokyo), Mitaro Namiki (TUAT), Hiroki Matsutani (Keio Univ.) MW2015-182 ICD2015-105
 [more] MW2015-182 ICD2015-105
pp.49-54
VLD, DC, IPSJ-SLDM, CPSY, RECONF, ICD, CPM
(Joint) [detail]
2015-12-02
13:45
Nagasaki Nagasaki Kinro Fukushi Kaikan A Preliminary Evaluation of Linear Network Using ThruChip Interface
Akio Nomura, Hiroki Matsutani, Yasuhiro Take (Keio Univ.), Mitaro Namiki (Tokyo Univ. of Agriculture and Technology), Tadahiro Kuroda, Hideharu Amano (Keio Univ.) CPSY2015-68
(To be available after the conference date) [more] CPSY2015-68
pp.39-44
SANE 2015-06-18
16:45
Ibaraki Tsukuba Space Center, JAXA Study for Space Applications of Non-Contact Connector
Mami Abe, Satoshi Ichikawa (JAXA), Atsutake Kosuge, Tadahiro Kuroda (Keio Univ) SANE2015-21
The data processing rate for earth observation satellites is increasing due to the enhanced development of observation s... [more] SANE2015-21
pp.33-36
ICD 2015-04-17
15:45
Nagano   [Invited Talk] Non-Contact Memory Interface using Transmission Line Coupler
Atsutake Kosuge, Junichiro Kadomoto, Tadahiro Kuroda (Keio Univ.) ICD2015-14
 [more] ICD2015-14
pp.69-74
ICD 2015-04-17
16:35
Nagano   [Invited Lecture] An Inductive-Coupling Interface Using Partially Overlapping Coil for WIO2 and Beyond
Yasuhiro Take, Tadahiro Kuroda (Keio Univ.) ICD2015-15
 [more] ICD2015-15
pp.75-79
ICD, SDM 2014-08-04
11:40
Hokkaido Hokkaido Univ., Multimedia Education Bldg. Non-Contact Connector and High Noise Immunity Transceiver for In-Vehicle LAN
Akira Okada, Atsutake Kosuge, Shu Ishizuka (Keio Univ.), Lechang Liu (Kyushu Univ.), Masao Taguchi, Hiroki Ishikuro, Tadahiro Kuroda (Keio Univ.) SDM2014-66 ICD2014-35
A non-contact connector which uses electromagnetic coupling interconnects cables without stripping them in the same way ... [more] SDM2014-66 ICD2014-35
pp.23-27
CPSY, DC
(Joint)
2014-07-30
18:15
Niigata Toki Messe, Niigata Design of OpenCL Library and Execution Dispatcher for Embedded Accelerator
Ryuichi Sakamoto, Mikiko Sato (Tokyo Univ. of Agriculture and Tech. (TUAT)), Hideharu Amano, Tadahiro Kuroda (Keio Univ.), Kimiyoshi Usami (Shibaura Inst. of Tech.), Hiroshi Nakamura (Univ. of Tokyo), Mitaro Namiki (Tokyo Univ. of Agriculture and Tech. (TUAT)) CPSY2014-46
Recently, an embedded processor for use in smartphones and other devices is equipped with some power-efficient accelerat... [more] CPSY2014-46
pp.215-220
VLD 2014-03-05
11:15
Okinawa Okinawa Seinen Kaikan Inductive-Coupling Interface for Multiple-Memory Chip Stacking
Mitsuko Saito, Tadahiro Kuroda (Keio Univ.) VLD2013-159
Inductive-coupling interface for multiple-memory chip stacking is proposed. The number of stacked memory chips was limit... [more] VLD2013-159
pp.137-140
IPSJ-SLDM, CPSY, RECONF, VLD [detail] 2014-01-28
13:20
Kanagawa Hiyoshi Campus, Keio University [Invited Talk] Research on VLSI Circuits -- From Solving Problem to Creating Future --
Tadahiro Kuroda (Keio Univ.) VLD2013-110 CPSY2013-81 RECONF2013-64
 [more] VLD2013-110 CPSY2013-81 RECONF2013-64
pp.49-54
ICD 2014-01-28
15:00
Kyoto Kyoto Univ. Tokeidai Kinenkan [Poster Presentation] FPGA-based Design for Motion-Vector Estimation exploiting High-Speed Imaging and its Application to Machine Learning
Masafumi Mori, Toshiyuki Itou, Masayuki Ikebe, Tetsuya Asai (Hokkaido Univ.), Tadahiro Kuroda (Keio Univ.), Masato Motomura (Hokkaido Univ.) ICD2013-109
We propose a smart architecture that estimates motion-vectors by searching one-neighbor pixels of high-speed images, and... [more] ICD2013-109
p.25
VLD, DC, IPSJ-SLDM, CPSY, RECONF, ICD, CPM
(Joint) [detail]
2013-11-27
14:40
Kagoshima   [Invited Talk] 3D Clock Distribution Using Vertically/Horizontally Coupled Resonators
Yasuhiro Take, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda (Keio Univ.) VLD2013-74 CPM2013-118 ICD2013-95 CPSY2013-59 DC2013-40 RECONF2013-42
 [more] VLD2013-74 CPM2013-118 ICD2013-95 CPSY2013-59 DC2013-40 RECONF2013-42
pp.97-100(VLD), pp.59-62(CPM), pp.59-62(ICD), pp.5-8(CPSY), pp.97-100(DC), pp.17-20(RECONF)
CPSY 2013-10-03
11:35
Chiba Makuhari Messe A Chip Evaluation of Cube-1: A multi-core processor with 3D TCI
Hideharu Amano, Yusuke Koizumi (Keio Univ.), Noriyuki Miura (Kobe Univ.), Yasuhiro Take, Hiroki Matsutani, Tadahiro Kuroda (Keio Univ.), Ryuichi Sakamoto, Mitaro Namiki (Tokyo Agri. and Tech.), Kimiyoshi Usami, Masaaki Kondo (Univ. of Elect. Comm.), Hiroshi Nakamura (Univ. of Tokyo) CPSY2013-33
 [more] CPSY2013-33
pp.13-18
SDM, ICD 2013-08-01
14:40
Ishikawa Kanazawa University [Invited Talk] A 0.15mm-Thick Non-Contact Connector for MIPI Using Vertical Directional Coupler
Atsutake Kosuge, Wataru Mizuhara, Tsunaaki Shidei, Tsutomu Takeya, Noriyuki Miura, Masao Taguchi, Hiroki Ishikuro, Tadahiro Kuroda (Keio Univ.) SDM2013-72 ICD2013-54
The world's first 0.15-mm-thick non-contact connector for Mobile Industry Processor Interface (MIPI) applications is pre... [more] SDM2013-72 ICD2013-54
pp.35-40
SDM, ICD 2013-08-02
13:00
Ishikawa Kanazawa University A 0.4-1V SAR ADC Using Wide Range Operation Asynchronous Controller
Yosuke Toyama, Akira Shikata, Kentaro Yoshioka, Ryota Sekimoto, Tadahiro Kuroda, Hiroki Ishikuro (Keio Univ.) SDM2013-80 ICD2013-62
This paper presents a wide range in supply voltage, resolution, and sampling rate asynchronous successive approximation ... [more] SDM2013-80 ICD2013-62
pp.77-82
ASN, RCS, NS, SR
(Joint)
2013-07-18
09:00
Shizuoka Hamamatsu Act City [Invited Talk] Integrated System and Ubiquitous Sensor Opened Up by Near-Field Wireless Communications
Tadahiro Kuroda (Keio Univ.) NS2013-34 RCS2013-85 SR2013-22 ASN2013-52
Orders of magnitude reduction in size and energy dissipation is necessary to implement ubiquitous sensors. IC technology... [more] NS2013-34 RCS2013-85 SR2013-22 ASN2013-52
pp.1-6(NS), pp.43-48(RCS), pp.9-14(SR), pp.29-34(ASN)
ICD, ITE-IST 2013-07-04
09:55
Hokkaido San Refre Hakodate A Low Power Fast Lock All-Digital CDR with TDC Combined DLL
Yuki Urano, Won-Joo Yun, Kaoru Kohira, Teruo Jyo, Tadahiro Kuroda, Hiroki Ishikuro (Keio Univ.) ICD2013-25
This paper presents an all-digital CDR with TDC combined DLL which can be used for not only NRZ signaling but also pulse... [more] ICD2013-25
pp.7-12
ICD, ITE-IST 2013-07-05
16:25
Hokkaido San Refre Hakodate A Intermittently Operating LNA with Optimal On-Off Controller for Pulse-Based Inductive-Coupling Transceiver
Teruo Jyo, Kaoru Kohira, Yuki Urano, Tadahiro Kuroda, Hiroki Ishikuro (Keio Univ.) ICD2013-42
This paper presents a low-power LNA for a inductive-coupling tranceiver. Intermittently operating technique to turn on L... [more] ICD2013-42
pp.113-118
 Results 1 - 20 of 52  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan