IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 1 - 16 of 16  /   
Committee Date Time Place Paper Title / Authors Abstract Paper #
ICD, ITE-IST 2013-07-05
18:05
Hokkaido San Refre Hakodate 1um Thickness Surface Electromyogram Measurement Sheet with 2V Organic Transistors for Prosthetic Hand Control
Hiroshi Fuketa, Kazuaki Yoshioka, Yasuhiro Shinozuka, Koichi Ishida, Tomoyuki Yokota, Naoji Matsuhisa, Yusuke Inoue, Masaki Sekino, Tsuyoshi Sekitani, Makoto Takamiya, Takao Someya, Takayasu Sakurai (Univ. of Tokyo/JST) ICD2013-46
A 64-channel surface electromyogram (EMG) measurement sheet with 2V organic transistors on a 1um-thick ultra-flexible po... [more] ICD2013-46
pp.135-140
ICD, SDM 2012-08-03
11:35
Hokkaido Sapporo Center for Gender Equality, Sapporo, Hokkaido [Invited Talk] An Insole Pedometer With Piezoelectric Energy Harvester and 2V Organic Circuits
Koichi Ishida, Tsung-Ching Huang, Kentaro Honda, Yasuhiro Shinozuka, Hiroshi Fuketa, Tomoyuki Yokota (Univ. of Tokyo), Ute Zschieschang, Hagen Klauk (Max Planck Inst.), Gregory Tortissier, Tsuyoshi Sekitani, Makoto Takamiya, Hiroshi Toshiyoshi, Takao Someya, Takayasu Sakurai (Univ. of Tokyo) SDM2012-81 ICD2012-49
 [more] SDM2012-81 ICD2012-49
pp.99-104
ICD, SDM 2012-08-03
13:35
Hokkaido Sapporo Center for Gender Equality, Sapporo, Hokkaido 0.45-V Input Higher Than 90% Efficiency Buck Converter with On-Chip Gate Boost
Xin Zhang, Po-Hung Chen (Univ. of Tokyo), Yoshikatsu Ryu (STARC), Koichi Ishida (Univ. of Tokyo), Yasuyuki Okuma, Kazunori Watanabe (STARC), Takayasu Sakurai, Makoto Takamiya (Univ. of Tokyo) SDM2012-83 ICD2012-51
 [more] SDM2012-83 ICD2012-51
pp.111-114
SDM, ICD 2011-08-26
12:55
Toyama Toyama kenminkaikan [Invited Talk] 0.5V Extremely Low Power Circuits for Wireless Sensor Nodes with Energy Harvesting
Makoto Takamiya, Koichi Ishida, Hiroshi Fuketa (Univ. of Tokyo), Masahiro Nomura, Hirofumi Shinohara (STARC), Takayasu Sakurai (Univ. of Tokyo) SDM2011-88 ICD2011-56
0.5V extremely low power circuits for wireless sensor nodes with energy harvesting are shown. Minimum operating voltage ... [more] SDM2011-88 ICD2011-56
pp.87-92
ICD, ITE-IST 2011-07-22
09:00
Hiroshima Hiroshima Institute of Technology A 100V AC Energy Meter with Organic CMOS Circuits
Koichi Ishida, Tsung-Ching Huang, Kentaro Honda, Tsuyoshi Sekitani (Univ. of Tokyo), Hiroyoshi Nakajima, Hiroki Maeda (Dai Nippon Printing), Makoto Takamiya, Takao Someya, Takayasu Sakurai (Univ. of Tokyo) ICD2011-25
In order to further reduce the energy loss in the power grid, an extremely fine-grain power monitoring system is essenti... [more] ICD2011-25
pp.57-62
ICD 2011-04-19
14:25
Hyogo Kobe University Takigawa Memorial Hall Design of Program-voltage(20V) Booster and TSV for High Speed and Low Power 3-D Solid State Drive System
Teruyoshi Hatanaka, Koh Johguchi, Koichi Ishida, Tadashi Yasufuku, Makoto Takamiya, Takayasu Sakurai, Ken Takeuchi (Univ. of Tokyo) ICD2011-16
A design of high speed and low power high-voltage generator system that includes a program-voltage (20V) booster and TSV... [more] ICD2011-16
pp.87-92
ICD 2010-12-17
16:15
Tokyo RCAST, Univ. of Tokyo A 1-V Input, 0.2-V to 0.47-V Output Switched-Capacitor DC-DC Converter with Pulse Density and Width Modulation (PDWM) for 57% Ripple Reduction
Xin Zhang, Yu Pu, Koichi Ishida (Univ. of Tokyo), Yoshikatsu Ryu, Yasuyuki Okuma (STARC), Po-Hung Chen (Univ. of Tokyo), Kazunori Watanabe (STARC), Takayasu Sakurai, Makoto Takamiya (Univ. of Tokyo) ICD2010-127
To effectively reduce output ripple of switched-capacitor DC-DC converters which generate variable output voltages, a no... [more] ICD2010-127
pp.163-167
ICD 2010-12-17
16:40
Tokyo RCAST, Univ. of Tokyo 0.18-V Input Charge Pump with Forward Body Biasing in Startup Circuit using 65nm CMOS
Po-Hung Chen, Koichi Ishida, Xin Zhang (Tokyo Univ.), Yasuyuki Okuma, Yoshikatsu Ryu (STARC), Makoto Takamiya, Takayasu Sakurai (Tokyo Univ.) ICD2010-128
In this paper, a 0.18-V input three-stage charge pump circuit applying forward body bias is proposed. In the developed c... [more] ICD2010-128
pp.169-173
ICD, SDM 2010-08-27
09:50
Hokkaido Sapporo Center for Gender Equality A 60% Higher Write Speed, 4.2Gbps, 24-Channel 3D-Solid State Drive (SSD) with NAND Flash Channel Number Detector and Intelligent Program-Voltage Booster
Teruyoshi Hatanaka, Koichi Ishida, Tadashi Yasufuku (Univ. of Tokyo), Shinji Miyamoto, Hiroto Nakai (Toshiba), Makoto Takamiya, Takayasu Sakurai, Ken Takeuchi (Univ. of Tokyo) SDM2010-140 ICD2010-55
 [more] SDM2010-140 ICD2010-55
pp.89-94
ICD, ITE-IST 2010-07-23
16:00
Osaka Josho Gakuen Osaka Center User Customizable Logic Paper with 2V Organic CMOS and Ink-Jet Printed Interconnects
Koichi Ishida, Naoki Masunaga, Ryo Takahashi, Tsuyoshi Sekitani (Univ. of Tokyo), Shigeki Shino (Mitsubishi Paper Mills Ltd.), Ute Zschieschang, Hagen Klauk (Max Planck Institute), Makoto Takamiya, Takao Someya, Takayasu Sakurai (Univ. of Tokyo) ICD2010-35
We propose a User Customizable Logic Paper (UCLP), which is suitable for the prototyping of large-area electronics with ... [more] ICD2010-35
pp.115-119
ICD 2009-12-15
16:10
Shizuoka Shizuoka University (Hamamatsu) Inductor Design of 20-V Boost Converter for Low Power 3D Solid State Drive
Tadashi Yasufuku, Koichi Ishida (Univ. of Tokyo.), Shinji Miyamoto, Hiroto Nakai (Toshiba), Makoto Takamiya, Takayasu Sakurai, Ken Takeuchi (Univ. of Tokyo.) ICD2009-103
An inductor design for a 3D Solid State Drive (3D-SSD) with a boost converter are presented in this paper. The spiral in... [more] ICD2009-103
pp.151-156
ICD, ITE-IST 2009-10-01
09:10
Tokyo CIC Tokyo (Tamachi) Feasibility Study on EMI Measurement "furoshiki" using 2V Organic CMOS and Silicon CMOS
Koichi Ishida, Naoki Masunaga, Zhiwei Zhou, Tadashi Yasufuku, Tsuyoshi Sekitani (Univ. of Tokyo), Ute Zschieschang, Hagen Klauk (Max Planck Institute), Makoto Takamiya, Takao Someya, Takayasu Sakurai (Univ. of Tokyo) ICD2009-33
 [more] ICD2009-33
pp.1-6
ICD 2009-04-14
14:35
Miyagi Daikanso (Matsushima, Miyagi) [Invited Talk] A 1.8V 30nJ Adaptive Program-Voltage (20V) Generator for 3D-Integrated NAND Flash SSD
Tadashi Yasufuku, Koichi Ishida (Tokyo Univ.), Shinji Miyamoto, Hiroto Nakai (Toshiba), Makoto Takamiya, Takayasu Sakurai, Ken Takeuchi (Tokyo Univ.) ICD2009-10
A boost-converter-based adaptive voltage generator for 3D-integrated SSD is proposed. Adaptive frequency and duty cycle ... [more] ICD2009-10
pp.47-52
ICD, ITE-IST 2008-10-24
11:15
Hokkaido Hokkaido University A 100Mbps, 0.41mV Impulse UWB Transceiver Based on Leading Edge Detection Technique
Lechang Liu, Yoshio Miyamoto, Zhiwei Zhou, Kosuke Sakaida, Jisun Ryu, Koichi Ishida, Makoto Takamiya, Takayasu Sakurai (Univ. of Tokyo) ICD2008-84
A novel low power impulse Ultra-wideband (UWB) transceiver based on leading edge detection technique is developed. It fe... [more] ICD2008-84
pp.149-154
ICD, SDM 2006-08-17
14:45
Hokkaido Hokkaido University A 1-V 299uW Flashing UWB Transceiver Based on Double Thresholding Scheme
Makoto Takamiya, Atit Tamtrakarn (Univ. of Tokyo), Hiroki Ishikuro (Keio Univ.), Koichi Ishida (Tokyo Tech), Takayasu Sakurai (Univ. of Tokyo)
This paper presents an Ultra-Wide-Band transceiver based on a newly proposed double thresholding scheme. The scheme does... [more] SDM2006-135 ICD2006-89
pp.57-61
ICD, ITE-CE 2006-01-26
10:00
Tokyo Kikai-Shinko-Kaikan Bldg. High-Voltage Torelant Opamp Design Targeting for Future Scaled Transistors
Koichi Ishida, Atit Tamtrakarn (Univ. of Tokyo), Hiroki Ishikuro (Toshiba Corp.), Takayasu Sakurai (Univ. of Tokyo)
An outside-rail output opamp targeting for future scaled MOSFETs is designed and the 3-V-output operation is successfull... [more] ICD2005-205
pp.1-6
 Results 1 - 16 of 16  /   
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan