IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 1 - 5 of 5  /   
Committee Date Time Place Paper Title / Authors Abstract Paper #
SCE 2008-10-30
14:50
Ibaraki AIST Demonstration of a Single-Flux-Quantum Floating-Point Divider for the Reconfigurable Data-path
Masamitsu Tanaka, Koji Obata, Kazuyoshi Takagi, Naofumi Takagi (Nagoya Univ.), Nobuyuki Yoshikawa (Yokohama National Univ.) SCE2008-27
We report implementation and experimental results of a single-flux-quantum (SFQ) floating-point serial divider developed... [more] SCE2008-27
pp.29-34
SCE 2008-01-25
15:45
Tokyo Kikai-Shinko-Kaikan Bldg. Design and implementation of the SFQ half-precision floating point adder
Heejoung Park, Yuki Yamanashi, Kazuhiro Taketomi, Nobuyuki Yoshikawa (Yokohama National Univ.), Masamitsu Tanaka, Koji Obata, Yuki Itou, Akira Fujimaki, Naofumi Takagi, Kazuyoshi Takagi (Nagoya Univ.)
A new project was started to develop a large-scale reconfigurable data-path (LSRDP) based on the single-flux-quantum (SF... [more] SCE2007-31
pp.35-40
SCE 2008-01-25
16:10
Tokyo Kikai-Shinko-Kaikan Bldg. Design and Implementation of SFQ Half-precision Floating Point Multiplier
Hiroshi Hara (Yokohama Nat Univ.), Koji Obata (Nagoya Univ.), Heejoung Park, Yuki Yamanashi, Kazuhiro Taketomi, Nobuyuki Yoshikawa (Yokohama Nat Univ.), Masamitsu Tanaka, Yuki Itou, Akira Fujimaki, Naofumi Takagi, Kazuyoshi Takagi (Nagoya Univ.)
We are developing a large-scale reconfigurable data path (LSRDP) using single-flux-quantum circuits as a fundamental tec... [more] SCE2007-32
pp.41-45
CAS 2006-01-13
14:20
Miyazaki   A Transduction-based Framework to Synthesize RSFQ Circuits
Shigeru Yamashita (NAIST), Katsunori Tanaka (NEC), Hideyuki Takada (Kyoto Univ.), Koji Obata, Kazuyoshi Takagi (Nagoya Univ.)
In this paper, we propose a new framework to synthesize rapid single flux quantum (RSFQ) logic circuits. In our framewor... [more] CAS2005-94
pp.43-48
SCE 2004-10-22
10:30
Tokyo Kikai-Shinko-Kaikan Bldg. Advanced Design Approaches for SFQ Logic Circuits based on the Binary Decision Diagram
Takanobu Nishigai, Maki Ito, Nobuyuki Yoshikawa (Yokohama National Univ.), Koji Obata, Kazuyoshi Takagi, Naofumi Takagi (Nagoya Univ.)
We have been developing a design methodology of SFQ logic circuits based on the binary decision diagram(BDD). In the pre... [more] SCE2004-27
pp.13-18
 Results 1 - 5 of 5  /   
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan