IEICE Technical Report

Print edition: ISSN 0913-5685
Online edition: ISSN 2432-6380

vol. 105, no. 234

Integrated Circuits and Devices

Workshop Date : 2005-08-18 / Issue Date : 2005-08-11

[PREV] [NEXT]

[TOP] | [2006] | [2007] | [2008] | [2009] | [2010] | [2011] | [2012] | [Japanese] / [English]


ICD2005-67
A 95mW MPEG2 MP@HL Motion Estimation Processor Core for Portable High Resolution Video Application
Yuichiro Murachi (Kobe Univ.), Koji Hamano, Tetsuro Matsuno (Kanazawa Univ.), Junichi Miyakoshi (Kobe Univ.), Masayuki Miyama (Kanazawa Univ.), Masahiko Yoshimoto (Kobe Univ.)
pp. 1 - 6

ICD2005-68
An Energy Reduction Method for FFT Circuits in Digital Wireless Communications Using Bitwidth Control
Masayuki Tokunaga, Kosuke Tarumi, Hiroto Yasuura (Kyushu Univ.)
pp. 7 - 12

ICD2005-69
TIS Locking Circuit for Compensating LSI Performance by Temperature Variation
Goichi Ono, Masayuki Miyazaki, Kazuki Watanabe, Takayuki Kawahara (Hitachi, Ltd.)
pp. 13 - 18

ICD2005-70
A Digital Detector Design For Measuring Gate-Delay Variation
Ryota Sakamoto, Masanori Muroyama, Kosuke Tarumi, Hiroto Yasuura (Kyushu Univ.)
pp. 19 - 24

ICD2005-71
[Special Invited Talk] *
Makoto Yoshimi (SOITEC Asia)
pp. 25 - 30

ICD2005-72
Experimental Study on the Mobility Superiority in (110)-oriented Ultra-thin Body pMOSFETs
Gen Tsutsui, Masumi Saitoh, Toshiro Hiramoto (Univ. of Tokyo)
pp. 31 - 36

ICD2005-73
Variable body-factor FD SOI MOSFET for VTCMOS applications
Tetsu Ohtou, Toshiharu Nagumo, , Toshiro Hiramoto (Univ. Tokyo)
pp. 37 - 42

ICD2005-74
Measurement and evaluation of delay variation due to inductive and capacitive coupling noise
Yasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye (Osaka Univ.)
pp. 43 - 48

ICD2005-75
Isolation Strategy against Substrate Coupling in CMOS Mixed-Signal/RF Circuits
Daisuke Kosaka, Makoto Nagata (Kobe Univ.), Yoshitaka Murasaka, Atsushi Iwata (A-R-Tec Corp.)
pp. 49 - 54

ICD2005-76
A Test Structure to Analyze (Highly-Doped)/(Lightly-Doped)-Drain in LDD-type CMOSFET
Takashi Ohzone (Okayama Pref. Univ.), Toshihiro Matsuda (Toyama Pref. Univ.), Kazuhiko Okada, Takayuki Morishita, Kiyotaka Komoku (Okayama Pref. Univ.), Hideyuki Iwata (Toyama Pref. Univ.)
pp. 55 - 60

ICD2005-77
Delay Modeling and Static Timing Analysis for MTCMOS Circuits
Naoaki Ohkubo, Kimiyoshi Usami (Shibaura Institute of Tech.)
pp. 61 - 66

ICD2005-78
Monitoring Scheme for Minimizing Power Consumption by Means of Supply and Threshold Voltage Control in Active and Standby Modes
Yoshifumi Ikenaga, Masahiro Nomura, Koichi Takeda, Yoetsu Nakazawa (NEC), Yoshiharu Aimoto (NECEL), Yasuhiko Hagihara (NEC)
pp. 67 - 72

ICD2005-79
A Low Dynamic Power and Low Leakage Power CMOS Square-Root Circuit
Nobuaki Kobayashi, Tadayoshi Enomoto (Chuo Univ.)
pp. 73 - 78

ICD2005-80
A Low Leakage SRAM Macro with Replica Cell Biasing Scheme
Osamu Hirabayashi, Yasuhisa Takeyama, Hiroyuki Otake, Keiichi Kushida, Nobuaki Otsuka (Toshiba Corp.)
pp. 79 - 84

ICD2005-81
SOI; the Trump Card of SOCs in Sub. 50-nm Era -- Techniques that SOI Conquers Bulk! --
Tadayoshi Enomoto (Chuo Univ.), Takakuni Douseki (NTT), Kazutami Arimoto (Renesas), Jiroh Ida (Oki), Takashi Ipposhi (Renesas), Kazuhiko Miki (Toshiba), Masanao Yamaoka (Hitachi), Makoto Yoshimi (SOITEC)
pp. 85 - 90


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan