IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

Technical Committee on Lasers and Quantum Electronics (LQE)  (Searched in: 2015)

Search Results: Keywords 'from:2015-11-26 to:2015-11-26'

[Go to Official LQE Homepage (Japanese)] 
Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Ascending)
 Results 1 - 20 of 25  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
ED, LQE, CPM 2015-11-26
10:30
Osaka Osaka City University Media Center Study on AlGaN formation on alpha-(AlGa)2O3 by surface nitridation using radio frequency nitrogen plasma
Tsutomu Araki, Akira Buma, Nao Masuda, Yasushi Nanishi (Ritsumeikan Univ.), Masaya Oda, Toshimi Hitora (FLOSFIA) ED2015-68 CPM2015-103 LQE2015-100
 [more] ED2015-68 CPM2015-103 LQE2015-100
pp.1-4
ED, LQE, CPM 2015-11-26
10:55
Osaka Osaka City University Media Center Growth of AlN with annealing on different misoriented c-plane sapphire
Shuhei Suzuki, Chia-Hung Lin, Hideto Miyake, Kazumasa Hiramatsu (Mie Univ.), Hiroyuki Fukuyama (Tohoku Univ.) ED2015-69 CPM2015-104 LQE2015-101
AlN is promising for applications in the deep ultraviolet region because of its wide direct band-gap and excellent therm... [more] ED2015-69 CPM2015-104 LQE2015-101
pp.5-9
ED, LQE, CPM 2015-11-26
11:20
Osaka Osaka City University Media Center AlN growth on AlN/Sapphire substrate by RF-HVPE
Daiki Yasui, Hideto Miyake, Kazumasa Hiramatsu (Mie Univ.), Motoaki Iwaya, Isamu Akasaki (Meijo Univ.), Hiroshi Amano (Nagoya Univ.) ED2015-70 CPM2015-105 LQE2015-102
AlN (Aluminum nitride) is promising for deep ultraviolet optoelectronic devices. High crystal quality free-standing AlN ... [more] ED2015-70 CPM2015-105 LQE2015-102
pp.11-14
ED, LQE, CPM 2015-11-26
11:45
Osaka Osaka City University Media Center Electronic and optical characteristics of an m-plane freestanding GaN substrate grown by hydride vapor phase epitaxy on a GaN seed crystal synthesized by the ammonothermal method using an acidic mineralizer
Kazunobu Kojima (Tohoku Univ.), Yusuke Tsukada (MCC), Erika Furukawa, Makoto Saito (Tohoku Univ.), Yutaka Mikawa, Shuichi Kubo, Hirotaka Ikeda, Kenji Fujito (MCC), Akira Uedono (Tsukuba Univ.), Shigefusa F. Chichibu (Tohoku Univ.) ED2015-71 CPM2015-106 LQE2015-103
 [more] ED2015-71 CPM2015-106 LQE2015-103
pp.15-19
ED, LQE, CPM 2015-11-26
13:10
Osaka Osaka City University Media Center Analysis of hole concentration and mobility of lightly Mg-doped p-type GaN by Hall-effect measurements
Masahiro Horita (Kyoto Univ.), Shinya Takashima, Ryo Tanaka, Hideaki Matsuyama, Katsunori Ueno, Masaharu Edo (Fuji Electric), Jun Suda (Kyoto Univ.) ED2015-72 CPM2015-107 LQE2015-104
Lightly-Mg-doped p-type gallium nitride (GaN) with the Mg concentration of $6.5times 10^{16}~mbox{cm}^{-3}$ was characte... [more] ED2015-72 CPM2015-107 LQE2015-104
pp.21-25
ED, LQE, CPM 2015-11-26
13:35
Osaka Osaka City University Media Center Electrical characterization of lightly Si-doped homoepitaxitial n-type GaN studied by Hall-effect measurement
Naoki Sawada (Kyoto Univ.), Tetsuo Narita, Tetsu Kachi, Tsutomu Uesugi (TOYOTA Central R&D Labs.), Masahiro Horita, Jun Suda (Kyoto Univ.) ED2015-73 CPM2015-108 LQE2015-105
 [more] ED2015-73 CPM2015-108 LQE2015-105
pp.27-32
ED, LQE, CPM 2015-11-26
14:00
Osaka Osaka City University Media Center Photoresponse of Homoepitaxial N-type GaN Schottky Barrier Diodes
Takuya Maeda (Kyoto Univ.), Masaya Okada (Sumitomo electric industries,Ltd.), Yoshiyuki Yamamoto, Masaki Ueno (Sumitomo electric industries), Masahiro Horita, Jun Suda (Kyoto Univ.) ED2015-74 CPM2015-109 LQE2015-106
 [more] ED2015-74 CPM2015-109 LQE2015-106
pp.33-37
ED, LQE, CPM 2015-11-26
14:25
Osaka Osaka City University Media Center A high current operation in a 1.6 kV GaN-based trench hybrid-junction diode (THD)
Ryo Kajitani, Hiroyuki Handa, Shinji Ujita, Daisuke Shibata, Masahiro Ogawa, Kenichiro Tanaka, Hidetoshi Ishida, Satoshi Tamura, Masahiro Ishida, Tetsuzo Ueda (Panasonic) ED2015-75 CPM2015-110 LQE2015-107
A GaN-based trench hybrid-junction diode (THD) on a GaN substrate with a high current and low threshold voltage is prese... [more] ED2015-75 CPM2015-110 LQE2015-107
pp.39-42
ED, LQE, CPM 2015-11-26
15:05
Osaka Osaka City University Media Center [Invited Talk] Spatio-time-resolved cathodoluminescence studies on Si-doped high AlN mole fraction AlxGa1-xN multiple quantum wells grown on an AlN epitaxial templates
Shigefusa Chichibu (Tohoku U.), Hifdeto Miyake, Kazumasa Hiramatsu (Mie-U) ED2015-76 CPM2015-111 LQE2015-108
 [more] ED2015-76 CPM2015-111 LQE2015-108
pp.43-48
ED, LQE, CPM 2015-11-26
15:30
Osaka Osaka City University Media Center Analysis of radiative and non-radiative lifetimes in GaN using accurate internal-quantum-efficiency values estimated by simultaneous photoluminescence and photo-acoustic measurements
Kohei Kawakami, Takashi Nakano, Atsushi A Yamaguchi (KIT) ED2015-77 CPM2015-112 LQE2015-109
Radiative and non-radiative recombination lifetimes in III-nitride semiconductors are usually estimated from time-resolv... [more] ED2015-77 CPM2015-112 LQE2015-109
pp.49-52
ED, LQE, CPM 2015-11-26
15:55
Osaka Osaka City University Media Center Determination of internal quantum efficiency in GaN by simultaneous measurements of photoluminescence and photo-acoustic signals
Takashi Nakano, Kouhei Kawakami, Atsushi A. Yamaguchi (KIT) ED2015-78 CPM2015-113 LQE2015-110
Internal quantum efficiency (IQE) is usually estimated from temperature dependence of photoluminescence (PL) intensity b... [more] ED2015-78 CPM2015-113 LQE2015-110
pp.53-58
ED, LQE, CPM 2015-11-26
16:30
Osaka Osaka City University Media Center Multi-wavelength excited Raman scattering spectroscopy for InGaN single layers
Ryosuke Ishido, Ryota Ishii, Mitsuru Funato, Yoichi Kawakami (Kyoto Univ.) ED2015-79 CPM2015-114 LQE2015-111
Resonant Raman scattering spectroscopy is crucially useful in studying properties of light-emitting devices. However, it... [more] ED2015-79 CPM2015-114 LQE2015-111
pp.59-62
ED, LQE, CPM 2015-11-26
16:55
Osaka Osaka City University Media Center Plasmonics with Aluminum applied to emission enhancements
Koichi Okamoto, Kazutaka Tateishi, Shun Kawamoto, Haruku Nishida, Kaoru Tamada (Kyushu Univ.), Mitsuru Funato, Yoichi Kawakami (Kyoto Univ.) ED2015-80 CPM2015-115 LQE2015-112
The use of “Plasmonics” is one very promising method to improve the emission efficiencies of light-emitting diodes (LEDs... [more] ED2015-80 CPM2015-115 LQE2015-112
pp.63-68
ED, LQE, CPM 2015-11-27
10:00
Osaka Osaka City University Media Center Behavior of Plasma-induced Defects in GaN -- Comparison between n- and p type GaN --
Yusuke Koga, Seiji Nakamura, Tsugunori Okumura (TMU)
 [more]
ED, LQE, CPM 2015-11-27
10:25
Osaka Osaka City University Media Center Temperature-controlled atomic layer deposition of GaN using plasma-excited nitrogen source
P.Pungboon Pansila, Kensaku Kanomata, Bashir Ahammad, Shigeru Kubota, Fumihiko Hirose (Yamagata Univ) ED2015-81 CPM2015-116 LQE2015-113
Temperature-controlled ALD of GaN on Si(100) is demonstrated with TMG and plasma-excited NH3. The TMG adsorption and its... [more] ED2015-81 CPM2015-116 LQE2015-113
pp.69-72
ED, LQE, CPM 2015-11-27
10:50
Osaka Osaka City University Media Center Interface analysis of Ti/Al-based ohmic contact on AlGaN/GaN structure grown on GaN substrate
Dariush H. Zadeh, Tanabe Shinichi, Watanabe Noriyuki, Matsuzaki Hideaki (NTT)
 [more]
ED, LQE, CPM 2015-11-27
11:15
Osaka Osaka City University Media Center Interface states and device characteristics of AlGaN/GaN MIS-HEMTs with HfO2 fabricated by atomic layer deposition
Gosuke Nishino, Toshiharu Kubo, Takashi Egawa (NITech) ED2015-82 CPM2015-117 LQE2015-114
We previously reported that MIS-HEMTs with Al2O3 fabricated by ALD using both H2O and O3 as oxygen precursors showed goo... [more] ED2015-82 CPM2015-117 LQE2015-114
pp.73-76
ED, LQE, CPM 2015-11-27
11:40
Osaka Osaka City University Media Center Dependence of the initial AlN layer of the vertical direction leakage current of the AlGaN/GaN HEMT structure on Silicon substrate
Yuya Yamaoka (TNSC), Kazuhiro Ito (NITech), Akinori Ubukata, Toshiya Tabuchi, Koh Matsumoto (TNSC), Takashi Egawa (NITech) ED2015-83 CPM2015-118 LQE2015-115
In this study, two types of single AlN on Si substrates were grown using different growth conditions. A scanning electro... [more] ED2015-83 CPM2015-118 LQE2015-115
pp.77-80
ED, LQE, CPM 2015-11-27
13:05
Osaka Osaka City University Media Center Recent progress of GaN-based Terahertz Quantum Cascade Lasers
Wataru Terashima, Hideki Hirayama (RIKEN) ED2015-84 CPM2015-119 LQE2015-116
 [more] ED2015-84 CPM2015-119 LQE2015-116
pp.81-84
ED, LQE, CPM 2015-11-27
13:30
Osaka Osaka City University Media Center High-temperature growth of a-AlGaN/AlN and its optical properties
Masafumi Jo, Hideki Hirayama (RIKEN) ED2015-85 CPM2015-120 LQE2015-117
 [more] ED2015-85 CPM2015-120 LQE2015-117
pp.85-88
 Results 1 - 20 of 25  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan