IEICE Technical Report

Print edition: ISSN 0913-5685      Online edition: ISSN 2432-6380

Volume 112, Number 365

Integrated Circuits and Devices

Workshop Date : 2012-12-17 - 2012-12-18 / Issue Date : 2012-12-10

[PREV] [NEXT]

[TOP] | [2009] | [2010] | [2011] | [2012] | [2013] | [2014] | [2015] | [Japanese] / [English]

[PROGRAM] [BULK PDF DOWNLOAD]


Table of contents

ICD2012-86
[Invited Talk] Future of Japanese LSI designers -- A barren dessert or paradise --
Hideharu Amano (Keio Univ.)
p. 1

ICD2012-87
Verification of an Estimation Method of Minimum Operation Voltage by Measurement
Junya Kawashima, Hiroyuki Ochi, Hiroshi Tsutsui, Takashi Sato (Kyoto Univ.)
pp. 3 - 8

ICD2012-88
[Invited Talk] Ambient Electronics using Flexible and Printed Electronics -- Next-generation ICT and Medical --
Tsuyoshi Sekitani, Takao Someya (Univ. Tokyo)
pp. 9 - 10

ICD2012-89
An Analysis and Evaluation of MOSFET Strain Sensor Using Pseudo-Hall Effect
Tetsuya Umetsu, Tomochika Harada, Sumio Okuyama, Koichi Matsushita (Yamagata Univ.)
pp. 11 - 16

ICD2012-90
[Invited Talk] High-performance STT-MRAM and Its Integration for Embedded Application
Toshihiro Sugii, Yoshihisa Iba, Masaki Aoki, Hideyuki Noshiro, Koji Tsunoda, Akiyoshi Hatada, Masaaki Nakabayashi, Yuuichi Yamazaki, Atsushi Takahashi, Chikako Yoshida (LESP)
pp. 17 - 20

ICD2012-91
A 250Msps, 0.5W eDRAM-based Search Engine applying full-route capacity dedicated FIB application
Yasuto Kuroda, Yuji Yano, Hisashi Iwamoto (Renesas), Koji Yamamoto (RDC), kazunari Inoue (Nara National College of Tech./Osaka Univ.)
pp. 21 - 26

ICD2012-92
[Poster Presentation] Instantaneous Heart Rate Detection Using Short-Time Autocorrelation for Wearable Healthcare Systems
Ken Yamashita, Masanao Nakano, Toshihiro Konishi, Shintaro Izumi, Hiroshi Kawaguchi, Masahiko Yoshimoto (Kobe Univ.)
p. 27

ICD2012-93
[Poster Presentation] Single-Inductor, Dual-Output, Parallel-Boosting Architecture to Generate ReRAM and NAND Flash Memory Programming Voltages for 3D-Integrated Hybrid Solid-State Drives
Shoto Nakajima (Chuo Univ.), Teruyoshi Hatanaka (Chuo Univ./Univ. of Tokyo), Ken Takeuchi (Chuo Univ.)
p. 29

ICD2012-94
[Poster Presentation] Near Threshold Voltage Word-Line Voltage Injection Scheme for Self-Convergence of Threshold Voltage Variation in Local Electron Injected Asymmetric Pass Gate Transistor 6T-SRAM
Daisuke Kobayashi, Kousuke Miyaji (Chuo Univ.), Shinji Miyano (STARC), Ken Takeuchi (Chuo Univ.)
p. 31

ICD2012-95
[Poster Presentation] Analyses of Code Length Dependence of Asymmetric Code for Highly Reliable SSDs with 20-40nm NAND Flash Memories
Masafumi Doi (Chuo Univ.), Shuhei Tanakamaru (Chuo Univ./Univ. of Tokyo), Ken Takeuchi (Chuo Univ.)
p. 33

ICD2012-96
[Poster Presentation] A Hardware-Friendly Object Recognition Algorithm Using Log Polar Coordinate Transformation
Makoto Wada, Tadashi Shibata (UT)
p. 35

ICD2012-97
[Poster Presentation] A New Approach of the Analysis of the ISF in Oscillators with a Closed-Loop Control
Junki Mizuno, Tsutomu Yoshimura, Shuhei Iwade, Hiroshi Makino (OIT), Yoshio Matsuda (Kanazawa Univ.)
pp. 37 - 40

ICD2012-98
[Poster Presentation] Low-Power Ferroelectric 6T4C Shadow SRAM for Normally-Off Computing
Tomoki Nakagawa, Shusuke Yoshimoto, Yuki Kitahara, Koji Yanagida, Yohei Umeki, Shunsuke Okumura, Shintaro Izumi, Hiroshi Kawaguchi, Masahiko Yoshimoto (Kobe Univ.)
p. 41

ICD2012-99
[Poster Presentation] 3x Write and 5x Read Speed Increase for RRAM with Disturb Free Bipolar Operation
Sheyang Ning (Chuo Univ./Univ. of Tokyo), Tomoko Ogura Iwasaki, Ken Takeuchi (Chuo Univ.)
p. 43

ICD2012-100
[Poster Presentation] Analysis of the Pull-in Range in a CDR-PLL with the Nonlinearity of the Phase Detector
Shinji Shimizu, Tsutomu Yoshimura, Shuhei Iwade, Hiroshi Makino (OIT), Yoshio Matsuda (Kanazawa Univ.)
pp. 45 - 48

ICD2012-101
[Poster Presentation] A 2.4x-Real-Time VLSI Processor for 60-kWord Continuous Speech Recognition
Yuki Miyamoto, Guangji He, Shintaro Izumi, Hiroshi Kawaguchi, Masahiko Yoshimoto (Kobe Univ)
pp. 49 - 53

ICD2012-102
[Poster Presentation] Hybrid ReRAM/MLC NAND SSDs with Data Fragmentation Suppression and Evaluation Platform
Shun Okamoto, Kousuke Miyaji, Koh Johguchi, Ken Takeuchi (Chuo Univ.)
p. 55

ICD2012-103
[Poster Presentation] Control Gate Length, Spacing and Stacked Layer Number Design for BiCS NAND Flash Memory
Reo Hirasawa, Kousuke Miyaji, Ken Takeuchi (Chuo Univ)
p. 57

ICD2012-104
[Poster Presentation] Evaluation Platform based on Transaction Model Base for 3D Hybrid ReRAM/MLC NAND SSD and Real Data Pattern Analysis
Wataru Toriumi, Kousuke Miyaji, Koh Johguchi, Shogo Hachiya, Ken Takeuchi (Chuo Univ.)
p. 59

ICD2012-105
[Poster Presentation] FPGA Implementation of HOG-based Real-Time Object Detection Processor
Kenta Takagi, Kosuke Mizuno, Shintaro Izumi, Hiroshi Kawaguchi, Masahiko Yoshimoto (Kobe Univ.)
p. 61

ICD2012-106
[Poster Presentation] About the system simulation methodology for the switching power converters
Kei Watanabe, Tatsuya Furukawa, Yasuhiro Sugimoto (Chuo Univ.)
pp. 63 - 64

ICD2012-107
[Poster Presentation] Temperature Dependence of Phase Change Random Access Memory (PRAM)
Toru Egami, Koh Johguchi, Ken Takeuchi (Chuo Univ)
p. 65

ICD2012-108
[Poster Presentation] Single Inductor Dual Output DC-DC Boost converter with Serial Control
Shu Wu, Yasunori Kobori, Mu Rong Li, Zhao Feng, Qulin Zhu, Shaiful Nizam Mohyar (Gunma Univ), Takahiro Odaguchi, Tetsuji Yamaguchi, Isao Nakanishi, Kimio Ueda (AKM Tech.), Jun-ichi Matsuda (AKPD), Nobukazu Takai, Haruo Kobayashi (Gunma Univ)
pp. 67 - 70

ICD2012-109
[Poster Presentation] Ultra-Low-Voltage Dynamic Amplifier
James Lin, Masaya Miyahara, Akira Matsuzawa (Tokyo Inst. of Tech.)
p. 71

ICD2012-110
[Poster Presentation] A Design of Patch Clamp Measurement System using 0.18μm CMOS Technology
Wataru Nakayama, Ryuich Ohno, Yohei Yasuda, Takuya Kawashima, Nobuhiko Nakano (Keio Univ.)
pp. 73 - 76

ICD2012-111
[Poster Presentation] A Design of On-Chip Solar Cell and Regulated DC-DC Converter for Microsystem using 0.18μm CMOS Process
Haruki Ono, Daiju Kikuchi, Kazuki Nomura, Nobuhiko Nakano (Keio Univ.)
pp. 77 - 82

ICD2012-112
[Poster Presentation] A 60-GHz CMOS Direct-Conversion Transceiver
Seitaro Kawai, Ryo Minami, Ahmed Musa, Yuki Tsukui, Yasuaki Takeuchi, Kenichi Okada, Akira Matsuzawa (Titech)
p. 83

ICD2012-113
A Hardware-Implementation-Friendly Algorithm Based on Hierarchical Models for Real-Time Human Action Recognition
Kazumi Fukuda, Tadashi Shibata (Univ. of Tokyo)
pp. 85 - 90

ICD2012-114
A Stable Chip-ID Generating Physical Uncloneable Function Using Random Address Errors in SRAM
Hidehiro Fujiwara, Makoto Yabuuchi, Yasumasa Tsukamoto, Hirofumi Nakano, Toru Owada, Hiroyuki Kawai, Koji Nii (Renesas)
pp. 91 - 95

ICD2012-115
28-nm HKMG GHz Digital Sensor for Detecting Dynamic Voltage Drops in Testing for Peak Power Optimization
Mitsuhiko Igarashi, Yoshio Takazawa, Yasuto Igarashi, Hiroaki Matsushita, Kan Takeuchi (Renesas Electronics)
pp. 97 - 102

ICD2012-116
[Invited Talk] Soft-error evaluation and mitigation technologies
Taiki Uemura (Fujitsu Semiconductor Ltd.)
pp. 103 - 108

ICD2012-117
A 65 nm Low-Power Adaptive-Coupling Redundant Flip-Flop
Masaki Masuda, Kanto Kubota, Ryosuke Yamamoto (KIT), Jun Furuta (Kyoto Univ.), Kazutoshi Kobayashi (KIT), Hidetoshi Onodera (Kyoto Univ.)
pp. 109 - 113

ICD2012-118
[Invited Talk] CMOS analog mixed circuit and its applications
Shouhei Kousai (Toshiba)
pp. 115 - 120

ICD2012-119
High Efficiency 315MHz Transmitter with Dual Supply Voltage Scheme
Shunta Iguchi (Univ. of Tokyo), Akira Saito, Kazunori Watanabe (STARC), Takayasu Sakurai, Makoto Takamiya (Univ. of Tokyo)
pp. 121 - 126

ICD2012-120
Single Inductor Multi Output DC-DC Converter Design with Hythterisis Control
Tatsunori Nagasima, Yasunori Kobori, Takahiro Sakai, Syunsuke Tanaka (Gunma Univ.), Takahiro Odaguchi, Tetsuji Yamaguchi, Isao Nakanishi, Kimio Ueda (AKM Tech.), Jun-ichi Matsuda (AKPD), Nobukazu Takai, Haruo Kobayashi (Gunma Univ.)
pp. 127 - 131

ICD2012-121
Development of optical response current model of lateral pin photodiode
Yosuke Imura, Kiyoshi Ise (SNCT)
pp. 133 - 138

ICD2012-122
[Invited Talk] Challenges to top-level circuit couference -- Experiences of former device researcher --
Kousuke Miyaji (Chuo Univ.)
pp. 139 - 143

Note: Each article is a technical report without peer review, and its polished version will be published elsewhere.


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan