IEICE Technical Report

Print edition: ISSN 0913-5685      Online edition: ISSN 2432-6380

Volume 107, Number 195

Integrated Circuits and Devices

Workshop Date : 2007-08-23 - 2007-08-24 / Issue Date : 2007-08-16

[PREV] [NEXT]

[TOP] | [2006] | [2007] | [2008] | [2009] | [2010] | [2011] | [2012] | [Japanese] / [English]

[PROGRAM] [BULK PDF DOWNLOAD]


Table of contents

ICD2007-69
Development of a Multi-Core SoC with 9 CPUs and 2 Matrix Processors
Masami Nakajima, Koichi Ishimi, Hayato Fujiwara, Kazuya Ishida, Naoto Okumura, Norio Masui, Hiroyuki Kondo (Renesas)
pp. 1 - 4

ICD2007-70
Homogenous Dual-Processor core with Shared L1 Cache for Mobile Multimedia SoC
Tetsu Hosoki, Takao Yamamoto, Masayuki Yamasaki, Keisuke Kaneko, Masaitsu Nakajima (Matsushita Electric Industrial Co., Ltd.)
pp. 5 - 9

ICD2007-71
Evaluation of Heterogeneous Multicore Architecture with AAC-LC Stereo Encoding
Hiroaki Shikano (Hitachi/./Waseda Univ.), Masaki Ito, Takashi Todaka, Takanobu Tsunoda, Tomoyuki Kodama, Masafumi Onouchi, Kunio Uchiyama (Hitachi), Toshihiko Odaka (Hitachi/./Waseda Univ.), Tatsuya Kamei, Ei Nagahama, Manabu Kusaoke, Yusuke Nitta (Renesas Technology), Yasutaka Wada, Keiji Kimura, Hironori Kasahara (Waseda Univ.)
pp. 11 - 16

ICD2007-72
Fast Motion Estimation Algorithm and a Low Power Motion Estimation Processor Implementing Dynamic Voltage and Frequency Scaling
Nobuaki Kobayashi, Tadayoshi Enomoto (Chuo Univ.)
pp. 17 - 21

ICD2007-73
[Special Invited Talk] Design Trends of High Performance PLLs and DLLs
Shiro Dosho (Matsushita)
pp. 23 - 28

ICD2007-74
Multiphase-Output Level Shift System used in Multiphase PLL for Low Power Application
Akinori Matsumoto, Shiro Sakiyama, Yusuke Tokunaga, Takashi Morie, Shiro Dosho (Matsushita)
pp. 29 - 34

ICD2007-75
A Periodically All-in-Phase Clocking Architecture for Multi-Core SOC Platforms
Atsufumi Shibayama, Koichi Nose, Sunao Torii, Masayuki Mizuno, Masato Edahiro (NEC)
pp. 35 - 40

ICD2007-76
[Special Invited Talk] Past and Future of Dynamic Voltage Scaling
Hiroyuki Mizuno (Hitachi)
pp. 41 - 46

ICD2007-77
Energy comparison between various supply voltage scheme for System LSI
Satoshi Hanami, Shigeyoshi Watanabe, Manabu Kobayashi, Toshinori Takabatake (SIT)
pp. 47 - 50

ICD2007-78
Design of high-speed low-power dual-supply-voltage sysytem LSI taking into account of gate/sub-threshold leakage current
Shigeyoshi Watanabe, Satoshi Hanami, Manabu Kobayashi, Toshinori Takabatake (SIT)
pp. 51 - 56

ICD2007-79
An Optimal Supply Voltage Determiner Circuit for Minimum Energy Operations
Yoshifumi Ikenaga, Masahiro Nomura, Yoetsu Nakazawa, Yasuhiko Hagihara (NEC Corp.)
pp. 57 - 62

ICD2007-80
Power Measurement for a Multiplier with Run Time Power Gating
Toshihiro Kashima, Seidai Takeda, Toshiaki Shirai, Naoaki Ohkubo, Kimiyoshi Usami (S.I.T)
pp. 63 - 68

ICD2007-81
A 1.92us-wake-up time thick-gate-oxide power switch technique for ultra low-power single-chip mobile processors
Kazuki Fukuoka, Osamu Ozawa, Ryo Mori, Yasuto Igarashi, Toshio Sasaki, Takashi Kuraishi, Yoshihiko Yasu, Koichiro Ishibashi (Renesas Technology)
pp. 69 - 73

ICD2007-82
[Panel Discussion] Dynamic Voltage & Frequency Scaling ; A Key Technology for Deep Sub-100nm SoCs !
Tadayoshi Enomoto (Chuo Univ.), Naohiko Irie (Hitachi), Hiroshi Okano (Fujitsu), Shiro Sakiyama (Matsushita), Masakatsu Nakai (Sony), Koji Nii (Renesas Technology), Masahiro Nomura (NEC), Hiroyuki Mizuno (Hitachi)
pp. 75 - 78

ICD2007-83
A Very Wideband Fully Balanced Active RC Polyphase Filter Based on CMOS Inverters in 0.18μm CMOS Technology
Keishi Komoriyama, Eiich Yoshida, Makoto Yashiki, Hiroshi Tanimoto (Kitami Inst. Tech.)
pp. 79 - 84

ICD2007-84
Fine-Grained In-Circuit Continuous-Time Probing Technique of Dynamic Supply Variation in SoCs
Mitsuya Fukazawa, Tetsuro Matsuno, Toshifumi Uemura (Kobe Univ.), Rei Akiyama (Renesas Design), Tetsuya Kagemoto, Hiroshi Makino, Hidehiro Takata (Renesas Technology), Makoto Nagata (Kobe Univ.)
pp. 85 - 90

ICD2007-85
An On-Chip Noise Canceller with High Voltage Supply Lines for Nanosecond-Range Power Supply Noise
Yasumi Nakamura, Makoto Takamiya, Takayasu Sakurai (Univ. of Tokyo)
pp. 91 - 94

ICD2007-86
A Microwave-Powered CMOS Power Supply Circuit for Integrated Si-MEMS Microsensors
Daiki Endo (TUT), Hidekuni Takao (TUT/JST-CREST), Syunsuke Kizuna (TUT), Kazuaki Sawada, Makoto Ishida (TUT/JST-CREST)
pp. 95 - 100

ICD2007-87
[Special Invited Talk] Effect of metal-gate/high-k on characteristics of MOSFETs for 32nm CMOS and beyond
Masato Koyama, Masahiro Koike, Yuuichi Kamimuta, Masamichi Suzuki, Kosuke Tatsumura, Yoshinori Tsuchiya, Reika Ichihara, Masakazu Goto, Koji Nagatomo, Atsushi Azuma, Shigeru Kawanaka, Kazuaki Nakajima, Katsuyuki Sekine (Toshiba Corp.)
pp. 101 - 106

ICD2007-88
Experimental Study on Mobility Universality in (100) Ultra Thin Body nMOSFET with SOI thickness of 5 nm
Ken Shimizu, Toshiro Hiramoto (Univ. of Tokyo)
pp. 107 - 111

ICD2007-89
An analysis of asymmetry and orientation dependence of n-MOSFETs
Toshihiro Matsuda, Yuya Sugiyama, Hideyuki Iwata (Toyama Pref. Univ.), Takashi Ohzone (Okayama Pref. Univ.)
pp. 113 - 116

ICD2007-90
[Special Invited Talk] Towards Great Nanoelectronics Country, Japan
Hisatsune Watanabe (Selete)
p. 117

ICD2007-91
Design of High Density LSI with Three-Dimensional Transistor FinFET -- Effect of Pattern Area Reduction with CMOS Cell Library --
Keisuke Okamoto, Keisuke Koizumi, Yu Hiroshima, Shigeyoshi Watanabe (SIT)
pp. 119 - 124

ICD2007-92
Design Method of system LSI with FinFET type DTMOS
Yu Hiroshima, Shigeyoshi Watanabe, Keisuke Okamoto, Keisuke Koizumi (SIT)
pp. 125 - 130

ICD2007-93
0.7 V SRAM Technology with Stress-Enhanced Dopant Segregated Schottky (DSS) Source/Drain Transistors for 32 nm Node
Hiroyuki Onoda, Katsura Miyashita, Takeo Nakayama, Tomoko Kinoshita, Hisashi Nishimura, Atsushi Azuma, Seiji Yamada, Fumitomo Matsuoka (Toshiba)
pp. 131 - 134

ICD2007-94
SPRAM (SPin-transfer torque RAM) with a synthetic ferrimagnetic free layer for suppressing read disturbance and write-current dispersion
Katsuya Miura, Takayuki Kawahara, Riichiro Takemura (Hitachi, Ltd.), Jun Hayakawa (Hitachi, Ltd./Tohoku Univ.), Michihiko Yamanouchi (Hitachi, Ltd.), Shoji Ikeda, Ryutaro Sasaki (Tohoku Univ.), Kenchi Ito, Hiromasa Takahashi, Hideyuki Matsuoka (Hitachi, Ltd.), Hideo Ohno (Tohoku Univ.)
pp. 135 - 138

ICD2007-95
An Area-Conscious Low-Voltage-Oriented 8T-SRAM Design under DVS Environment
Yasuhiro Morita, Hidehiro Fujiwara, Hiroki Noguchi, Yusuke Iguchi (Kobe Univ.), Koji Nii (Kobe Univ./Renesas Technology), Hiroshi Kawaguchi, Masahiko Yoshimoto (Kobe Univ.)
pp. 139 - 144

ICD2007-96
A 45nm 2port 8T-SRAM using hierarchical replica bitline technique with immunity from simultaneous R/W access issues
Satoshi Ishikura, M. Kurumada, Toshio Terano, Yoshinobu Yamagami, Naoki Kotani, Katsuji Satomi (Matushita Electric Industrial), Koji Nii, Makoto Yabuuchi, Yasumasa Tsukamoto, Shigeki Ohbayashi, Toshiyuki Oashi, Hiroshi Makino, Hirofumi Shinohara (Renesas Technology), Hironori Akamatsu (Matushita Electric Industrial)
pp. 145 - 148

ICD2007-97
A 128-Kbit, 16-Port SRAM Design with Multi-Stage-Sensing Scheme in 90-nm CMOS Technology
Koh Johguchi, Yuya Mukuda, Shinya Izumi, Hans Juergen Mattausch, Tetsushi Koide (Hiroshima Univ.)
pp. 149 - 154

Note: Each article is a technical report without peer review, and its polished version will be published elsewhere.


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan