IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 1 - 20 of 102  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
SCE 2024-01-23
13:35
Tokyo Kikai-Shinko-Kaikan Bldg.
(Primary: On-site, Secondary: Online)
[Invited Talk] Research on Novel Binary Neural Processing Elements Using Single Flux Quantum Circuits
Zeyu Han, Zongyuan Li, Yamanashi Yuki, Yoshikawa Nobuyuki (Yokohama National Univ.) SCE2023-23
Superconducting convolutional neural networks, based on single flux quantum (SFQ) circuits, hold significant potential d... [more] SCE2023-23
pp.1-6
SCE 2023-10-31
09:35
Miyagi RIEC, Tohoku Univ.
(Primary: On-site, Secondary: Online)
Design and demonstration of multipliers using adiabatic quantum-flux-parametron
Yu Hoshika, Shohei Takagi, Tomoyuki Tanaka (YNU), Christopher L. Ayala, Nobuyuki Yoshikawa (YNU-IAS) SCE2023-16
Adiabatic quantum-flux-parametron (AQFP) logic is an emerging superconducting circuit technology, which is superior in t... [more] SCE2023-16
pp.21-25
SCE 2023-10-31
10:00
Miyagi RIEC, Tohoku Univ.
(Primary: On-site, Secondary: Online)
Design of a Modularized Circuits Library for Binary Convolutional Neural Network Accelerator using Single Flux Quantum Circuits
Zeyu Han, Zongyuan Li, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama National Univ.) SCE2023-17
To implement a binary neural network (BNN) based on SFQ circuits, we designed a modularized circuits library based on th... [more] SCE2023-17
pp.26-31
SCE 2023-08-08
11:00
Kanagawa Yokohama National Univ.
(Primary: On-site, Secondary: Online)
Amplitude-controllable microwave pulse generator for qubit control using single-flux-quantum circuits with a low critical-current-density Josephson process
Shu Sasaki, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama National Univ.) SCE2023-4
Quantum computers can solve astronomical time-consuming calculations at high speed by using qubits representing the supe... [more] SCE2023-4
pp.17-21
SCE 2023-08-08
11:25
Kanagawa Yokohama National Univ.
(Primary: On-site, Secondary: Online)
Low-Cost Sorting Network Circuits Based on Temporal Logic Using Single Flux Quantum Circuits
Zeyu Han, Zongyuan Li, Yamanashi Yuki, Yoshikawa Nobuyuki (YNU) SCE2023-5
Sorting is important in various applications such as image processing and switching systems. Hardware cost and power con... [more] SCE2023-5
pp.22-27
SCE 2023-08-08
13:50
Kanagawa Yokohama National Univ.
(Primary: On-site, Secondary: Online)
Design of an rf-SQUID with π-Josephson junction for inverter function of directly coupled quantum-flux-parametron logic
Wataru Komiya, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama National Univ.) SCE2023-8
Adiabatic quantum-flux-parametron (AQFP) logic relies on magnetic transformer for propagation and inversion, which prese... [more] SCE2023-8
pp.39-44
SCE 2023-08-08
14:25
Kanagawa Yokohama National Univ.
(Primary: On-site, Secondary: Online)
In-Depth Timing Characterization of the Adiabatic Quantum-Flux-Parametron Logic Gate
Yu Hoshika (YNU), Christopher L. Ayala (IAS- YNU), Nobuyuki Yoshikawa (IAS - YNU) SCE2023-9
Adiabatic quantum-flux-parametron (AQFP) logic is a superconductor logic family and can operate at 5 GHz to 10 GHz with ... [more] SCE2023-9
pp.45-48
SCE 2023-01-20
14:10
Tokyo Kikai-Shinko-Kaikan Bldg.
(Primary: On-site, Secondary: Online)
Introduction of a fluctuation mechanism of the oscillation frequency of the oscillator-based random number generator using Josephson oscillation
Takeshi Onomi (Fukuoka Inst. Tech.) SCE2022-15
An oscillator-based true random number generator using superconducting single flux quantum circuits and Josephson oscill... [more] SCE2022-15
pp.12-16
SCE 2022-08-09
09:35
Online Online Design of Energy-Efficient Adiabatic Quantum-Flux-Parametron Multiplier Families
Shohei Takagi, Tomoyuki Tanaka (YNU), Christopher Ayala, Nobuyuki Yoshikawa (IAS,YNU) SCE2022-1
Adiabatic Quantum Flux Parametron (AQFP) circuits are characterized by a power dissipation of 5 to 6 orders less than CM... [more] SCE2022-1
pp.1-5
SCE 2022-08-09
13:25
Online Online Pulse-density-modulated microwave generator using single-flux-quantum circuits for controlling qubits
Akira Kunihiro, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama Natl. Univ.) SCE2022-7
Generally, superconducting qubits are controlled by an irradiation of a microwave pulse. We proposed a microwave pulse g... [more] SCE2022-7
pp.34-38
SCE 2022-01-21
13:35
Online Online [Invited Talk] Yield evaluation of adiabatic quantum-flux-parametron circuits using a planarized 10 kA/cm2 niobium process
Taiki Yamae (Yokohama Natl. Univ./JSPS Research Fellow), Naoki Takeuchi, Nobuyuki Yoshikawa (Yokohama Natl. Univ.) SCE2021-12
Adiabatic quantum-flux-parametron (AQFP) logic is a superconducting logic family that can operate with low switching ene... [more] SCE2021-12
pp.1-5
SCE 2021-11-15
14:20
Online Online High-frequency measurement of reversible quantum-flux-parametron logic
Taiki Yamae (Yokohama Natl. Univ./JSPS Research Fellow), Naoki Takeuchi, Nobuyuki Yoshikawa (Yokohama Natl. Univ.) SCE2021-7
Reversible quantum-flux-parametron (RQFP) is a logically and thermodynamically reversible logic gate composed of adiabat... [more] SCE2021-7
pp.1-5
SCE 2021-08-06
14:35
Online Online Study on adiabatic quantum-flux-parametron datapaths with feedback loops adopting delay-line clocking
Taiki Yamae (Yokohama Natl. Univ./JSPS Research Fellow), Naoki Takeuchi, Nobuyuki Yoshikawa (Yokohama Natl. Univ.) SCE2021-4
Adiabatic quantum-flux-parametron (AQFP) is a superconductor logic family which can operate with low switching energy. S... [more] SCE2021-4
pp.14-18
SCE 2021-08-06
15:00
Online Online High-Throughput Low-Latency Single-Flux-Quantum Circuits with Feedback Path
Ryota Kashima, Ikki Nagaoka, Tomoki Nakano, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2021-5
We have introduced bit-parallel processing into high-speed, low-power microprocessors based on single-flux-quantum circu... [more] SCE2021-5
pp.19-24
SCE 2021-01-19
13:05
Online Online [Invited Talk] Design of serializer/deserializer circuits for adiabatic quantum-flux-parametron circuits using delay-line clocking
Yuki Hironaka, Taiki Yamae, Naoki Takeuchi, Nobuyuki Yoshikawa (Yokohama Natl. Univ.) SCE2020-17
An adiabatic quantum-flux-parametron (AQFP) circuit is an extremely low-power Josephson logic family. A novel clocking s... [more] SCE2020-17
pp.1-6
SCE 2021-01-19
13:55
Online Online Study and evaluation of adiabatic quantum-flux-parametron logic gates using delay-line clocking
Taiki Yamae (Yokohama Natl. Univ./JSPS Research Fellow), Naoki Takeuchi, Nobuyuki Yoshikawa (Yokohama Natl. Univ.) SCE2020-19
Adiabatic quantum-flux-parametron (AQFP) is a superconductor logic family, which can operate with low switching energy. ... [more] SCE2020-19
pp.13-18
SCE 2021-01-19
14:35
Online Online Design and Evaluation of Random Number Generators Based on Non-Adiabatic Quantum-Flux-Parametron Gates
Wenhui Luo, Naoki Takeuchi, Olivia Chen, Nobuyuki Yoshikawa (Yokohama Natl. Univ.) SCE2020-20
We propose a random number generator (RNG) using non-adiabatic quantum-flux-parametron (QFP) gates. The RNG is a simple ... [more] SCE2020-20
pp.19-23
SCE 2021-01-19
15:25
Online Online Investigation of Operating Frequency of Low-Power Single-Flux-Quantum Circuits
Manami Kuniyoshi, Ken Murase, Ikki Nagaoka, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2020-22
To reduce the power consumption of single-flux-quantum circuits, it is an effective method to reduce the critical curren... [more] SCE2020-22
pp.30-35
SCE 2020-11-25
14:20
Online Online Design and bit-error-late evaluation of a Josephson latching driver using 10-kA/cm2 Nb process
Yuki Hironaka, Nobuyuki Yoshikawa (Yokohama Natl. Univ.) SCE2020-8
We have been developing Josephson-CMOS hybrid memory, which is a combination of CMOS memory and Josephson logic circuits... [more] SCE2020-8
pp.1-6
SCE 2020-11-25
14:45
Online Online Enhanced Operation Frequencies of Bipolar Double-Flux-Quantum Amplifiers Fabricated Using a Nb 10-kA/cm2 Integration Process
Yuta Somei, Hiroshi Shimada, Yoshinao Mizugaki (UEC) SCE2020-9
A Double-Flux-Quantum Amplifier (DFQA) is a superconducting voltage multiplier that can generate a high-precision voltag... [more] SCE2020-9
pp.7-11
 Results 1 - 20 of 102  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan