IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 21 - 40 of 71 [Previous]  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
SDM 2014-10-17
13:50
Miyagi Niche, Tohoku Univ. Analysis of trap density causing random telegraph noise in MOSFETs
Toshiki Obara, Akinobu Teramoto, Rihito Kuroda, Akihiro Yonezawa, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2014-93
The incidence ratio of Random Telegraph Noise in 131,072 MOSFETs was evaluated statistically by using array test circuit... [more] SDM2014-93
pp.55-59
SDM 2013-10-17
16:10
Miyagi Niche, Tohoku Univ. A device structure design of multi-gate MOSFETs based on carrier mobility characteristics of atomically flattened Si surface
Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2013-91
 [more] SDM2013-91
pp.15-20
SDM 2013-10-18
14:00
Miyagi Niche, Tohoku Univ. Study of Time Constant Analysis in Random Telegraph Noise at the Subthreshold Voltage Region
Akihiro Yonezawa, Akinobu Teramoto, Toshiki Obara, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2013-98
We extracted time constants of capture and emission of Random Telegraph Noise (RTN), and their dependencies of the gate-... [more] SDM2013-98
pp.51-56
SDM 2012-10-25
15:20
Miyagi Tohoku Univ. (Niche) Chemical structures of compositional transition layer at SiO2/Si(100) interface
Tomoyuki Suwa, Akinobu Teramoto (Tohoku Univ.), Takayuki Muro, Toyohiko Kinoshita (JASRI), Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi (Tohoku Univ.) SDM2012-89
 [more] SDM2012-89
pp.1-4
SDM 2012-10-25
16:10
Miyagi Tohoku Univ. (Niche) Evaluation of crystalline phase in SiO2 thin film using grazing incidence X-ray diffraction
Kohki Nagata, Takuya Yamaguchi, Atsushi Ogura (Meiji Univ.), Tomoyuki Koganezawa, Ichiro Hirosawa (JASRI), Tomoyuki Suwa, Akinobu Teramoto, Takeo Hattori, Tadahiro Ohmi (NICHe) SDM2012-91
Crystalline like structures in SiO2 thin films formed using oxygen molecules/radicals were investigated by X-ray reflect... [more] SDM2012-91
pp.11-14
SDM 2012-10-26
09:30
Miyagi Tohoku Univ. (Niche) Noise Performance of Accumulation MOSFETs
Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2012-92
Whether the use of a different material such as the germanium or the use of a new structure such as multigate device for... [more] SDM2012-92
pp.15-20
SDM 2012-10-26
09:55
Miyagi Tohoku Univ. (Niche) Low Temperature PECVD of High Quality Silicon Nitride for Gate Spacer
Yukihisa Nakao, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Hiroaki Tanaka, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2012-93
 [more] SDM2012-93
pp.21-26
SDM, ED
(Workshop)
2012-06-27
14:45
Okinawa Okinawa Seinen-kaikan 100nm-gate-length Normally-off Accumulation-Mode FD-SOI MOSFETs for Low Noise Analog/RF Circuits
Hidetoshi Utsumi, Ryohei Kasahara, Yukihisa Nakao, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.)
 [more]
SDM 2011-10-20
14:20
Miyagi Tohoku Univ. (Niche) Reduction of Random Telegraph Noise with Broad Channel MOSFET
Hiroyoshi Suzuki, Rihito Kuroda, Akinobu Teramoto, Akihiro Yonezawa, Hiroaki Matsuoka, Taiki Nakazawa, Kenichi Abe, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2011-98
Drastic reduction of random telegraph noise (RTN) is demonstrated due to the broad channel MOSFET structure. We found th... [more] SDM2011-98
pp.5-9
SDM 2011-10-20
14:45
Miyagi Tohoku Univ. (Niche) Statistical Evaluations of Generation and Recovery Characteristics of Anomalous Stress Induced Leakage Current
Takuya Inatsuka, Yuki Kumagai, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2011-99
 [more] SDM2011-99
pp.11-16
SDM 2011-10-20
15:45
Miyagi Tohoku Univ. (Niche) On the relation between interface flattening effect and insulator breakdown characteristic of radical reaction based insulator formation technology
Rihito Kuroda, Akinobu Teramoto, Xiang Li, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2011-101
 [more] SDM2011-101
pp.21-26
SDM 2011-10-21
10:15
Miyagi Tohoku Univ. (Niche) Clear Difference between the Chemical Structure of SiO2/Si Interfaces Formed Using Oxygen Radicals and Oxygen Molecules
Tomoyuki Suwa, Yuki Kumagai, Akinobu Teramoto (Tohoku Univ.), Toyohiko Kinoshita, Takayuki Muro (JASRI), Takeo Hattori, Tadahiro Ohmi (Tohoku Univ.) SDM2011-105
Soft-x-ray-excited angle-resolved photoelectron spectroscopy studies on silicon dioxide films formed using oxygen radica... [more] SDM2011-105
pp.49-52
SDM, ED
(2nd)
2011-06-29
- 2011-07-01
Overseas Legend Hotel, Daejeon, Korea Clear Difference between the Chemical Structure of SiO2/Si Interfaces Formed Using Oxygen Radicals and Oxygen Molecules
Tomoyuki Suwa, Akinobu Teramoto, Tadahiro Ohmi, Takeo Hattori (Tohoku Univ.)
Soft-x-ray-excited angle-resolved photoelectron spectroscopy studies on silicon dioxide films formed using oxygen radica... [more]
SDM 2010-11-11
13:50
Tokyo Kikai-Shinko-Kaikan Bldg. [Invited Talk] Statistical Evaluation of Random Telegraph Sygnal in MOSFET
Akinobu Teramoto, Kenichi Abe, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2010-174
Important parameters of Random Telegraph Signal (RTS) in MOSFET, such as amplitude, time constant vary very much. For ev... [more] SDM2010-174
pp.17-22
SDM 2010-10-21
17:10
Miyagi Tohoku University Low Resistance Source/Drain Contacts with Low Schottky Barrier for High Performance Transistors
Hiroaki Tanaka, Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2010-157
 [more] SDM2010-157
pp.25-30
SDM 2010-10-22
13:40
Miyagi Tohoku University Integration of Novel Non-porous Low-k Dielectric Fluorocarbon into Advanced Cu Interconnects
Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) SDM2010-165
 [more] SDM2010-165
pp.53-56
SDM 2010-10-22
14:50
Miyagi Tohoku University Crystallographic orientation dependence of compositional transition and valence band offset at SiO2/Si interface formed using oxygen radicals
Tomoyuki Suwa, Yuki Kumagai, Akinobu Teramoto, Tadahiro Ohmi, Takeo Hattori (Tohoku Univ.), Toyohiko Kinoshita, Takayuki Muro (JASRI) SDM2010-167
The chemical and electronic-band structures of SiO2/Si interfaces formed utilizing oxygen radicals were investigated by ... [more] SDM2010-167
pp.61-65
SDM 2010-10-22
16:20
Miyagi Tohoku University Strain evaluation in Si at atomically flat SiO2/Si interface
Maki Hattori (Meiji Univ.), Daisuke Kosemura (Meiji Univ./JSPS), Munehisa Takei, Kohki Nagata, Hiroaki Akamatsu, Motohiro Tomita, Yuuki Mizukami, Yuuki Hashiguchi, Takuya Yamaguchi, Atsushi Ogura (Meiji Univ.), Tomoyuki Suwa, Akinobu Teramoto, Takeo Hattori, Tadahiro Ohmi (NICHe), Tomoyuki Koganezawa (JASRI) SDM2010-170
We performed Raman spectroscopy and in-plane XRD measurement to clarify the structure and strain in Si at and near an at... [more] SDM2010-170
pp.71-75
ED, SDM 2010-07-02
12:15
Tokyo Tokyo Inst. of Tech. Ookayama Campus High Integrity Gate Insulator Films on Atomically Flat Silicon Surface
Xiang Li, Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) ED2010-93 SDM2010-94
A low temperature atomically flattening technology for Si(100) wafer is developed. By annealing in ultra pure argon ambi... [more] ED2010-93 SDM2010-94
pp.183-188
ED, SDM 2010-07-02
15:30
Tokyo Tokyo Inst. of Tech. Ookayama Campus High Current Drivability FD-SOI CMOS with Low Source/Drain Series Resistance
Yukihisa Nakao, Rihito Kuroda, Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi (Tohoku Univ.) ED2010-119 SDM2010-120
 [more] ED2010-119 SDM2010-120
pp.303-308
 Results 21 - 40 of 71 [Previous]  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan