お知らせ 2023年度・2024年度 学生員 会費割引キャンペーン実施中です
お知らせ 技術研究報告と和文論文誌Cの同時投稿施策(掲載料1割引き)について
お知らせ 参加費の返金について
電子情報通信学会 研究会発表申込システム
研究会 開催プログラム
技報閲覧サービス
[ログイン]
技報アーカイブ
 トップ  戻る   前のVLD研究会 / [HTML] / [HTML(simple)] / [TEXT]  [Japanese] / [English] 


VLSI設計技術研究会(VLD) [schedule] [select]
専門委員長 桜井 祐市 (日立)
副委員長 冨山 宏之 (立命館大)
幹事 笹川 幸宏 (ソシオネクスト), 瀬戸 謙修 (熊本大)

集積回路研究会(ICD) [schedule] [select]
専門委員長 池田 誠 (東大)
副委員長 若林 準人 (ソニーセミコンダクタソリューションズ)
幹事 吉原 義昭 (キオクシア), 塩見 準 (阪大)
幹事補佐 白井 僚 (京大), 高野 恭弥 (東京理科大), 久保木 猛 (熊本大)

ディペンダブルコンピューティング研究会(DC) [schedule] [select]
専門委員長 細川 利典 (日大)
副委員長 新井 雅之 (日大)
幹事 市原 英行 (広島市大), 大原 衛 (東京都立産業技術研究センター)

リコンフィギャラブルシステム研究会(RECONF) [schedule] [select]
専門委員長 山口 佳樹 (筑波大/熊本大)
副委員長 井口 寧 (北陸先端大), 泉 知論 (立命館大)
幹事 山田 裕 (東芝), 佐藤 幸紀 (豊橋技科大)
幹事補佐 竹村 幸尚 (インテル), 長名 保範 (熊本大)

システムとLSIの設計技術研究会(IPSJ-SLDM) [schedule] [select]
主査 金本 俊幾 (弘前大)
幹事 岸田 亮 (富山県立大), 熊木 武志 (立命館大), 五十嵐 友則 (ルネサス), 井上 貴雄 (ヌヴォトン)

日時 2024年11月12日(火) 13:00 - 18:45
2024年11月13日(水) 09:00 - 20:30
2024年11月14日(木) 09:00 - 17:10
議題 デザインガイア2024 -VLSI設計の新しい大地- 
会場名 コンパルホール(大分県大分市) 
住所 〒870-0021 大分県大分市府内町1丁目5番38号
交通案内 https://www.compalhall.jp/
他の共催 ◆IEEE CASS Japan Joint Chapter, IEEE CASS Kansai Chapter, IEEE SSCS Kansai Chapter, IEEE CEDA All Japan Joint Chapter 協賛
お知らせ ◎以下の公式HPからも、参加登録を忘れずに行ってください(締切11月5日(火))
https://sites.google.com/view/design-gaia-2024/home
また、11月13日(水)に懇親会を予定しており、参加には事前申込が必要ですので、ご注意下さい(原則、当日申込はございません)。
参加費に
ついて
この開催は「技報完全電子化」研究会です.参加費(VLD研究会, DC研究会, RECONF研究会, ICD研究会)についてはこちらをご覧ください
参加費支払い手続き期限 本研究会はハイブリッド開催(発表者は原則現地参加)です.準備の都合上,原則として開催の3日前までに受付を終了しますので,「参加費について」の聴講参加費または年間登録費のリンクからお早めにお手続きください.当日,現金の取り扱いはございません.なお,会議用URLなどは研究会幹事からご案内します.

11月12日(火) 午後  【基調講演】VLD
13:00 - 13:50
(1)
VLD
13:00-13:50 [基調講演]Preferred Networks における AI 向けプロセッサの開発 牧野淳一郎PFN/神戸大学
11月12日(火) 午後  【VLD1】
14:05 - 15:20
(2)
VLD
14:05-14:30 ニューラルネットワークの低ビット量子化手法の検討 和田絵美木村晋二早大
(3)
VLD
14:30-14:55 SNNにおける可変タイムステップを適用した効率的な推論手法 渡邉直弥武内良典近畿大
(4)
VLD
14:55-15:20 eFPGA IP向けPAE Cellを用いた新クラスタ構造およびクラスタリング手法 岩崎凌大佐々木龍也瀬戸謙修飯田全広熊本大
11月12日(火) 午後  【ICD1】
14:05 - 15:20
(5)
ICD
14:05-14:30 ランダムアンダーサンプリング型圧縮センシング脳波計測システムにおける低雑音アンプの消費電力低減手法 三井健司兼本大輔廣瀬哲也阪大
(6)
ICD
14:30-14:55 ウェアラブル機器に向けた低電源電圧OTAとそれを用いた低消費電力ΔΣ変調器 丸山尚哉小松 聡東京電機大
(7)
ICD
14:55-15:20 差分情報記憶に基づく低エネルギーMTJベース不揮発レジスタの構成 吉田知生夏井雅典羽生貴弘東北大
  15:20-15:35 休憩 ( 15分 )
11月12日(火) 午後  【RECONF1】
15:35 - 17:15
(8)
RECONF
15:35-16:00 テーブル引きを用いた乗算不要のCNNアクセラレータの提案とそのFPGA実装 更田裕司片下敏宏堀 洋平日置雅和産総研
(9)
RECONF
16:00-16:25 FPGAにおける多倍精度浮動小数点演算の手法の検証 川﨑真太郎桑澤 玄山口佳樹筑波大
(10)
RECONF
16:25-16:50 Wavefront array構造のDNN推論回路に対するサイドチャネル攻撃を緩和するための算術マスキングの実装と評価 山崎大勝吉田康太福田悠太藤野 毅立命館大
(11)
RECONF
16:50-17:15 FPGAによる疎行列計算用CRS形式変換の高速化 横野智也山口佳樹筑波大
11月12日(火) 午後  【DC】
15:35 - 17:15
(12)
DC
15:35-16:00 近似乗算器用BIST内の疑似乱数回路の面積削減について 赤松大地四柳浩之徳島大)・橋爪正樹放送大
(13)
DC
16:00-16:25 アービターPUFを組込んだ遅延検査容易化設計について 三木勇人四柳浩之徳島大)・橋爪正樹放送大
(14)
DC
16:25-16:50 SNU耐性を持つ不揮発性フリップフロップの設計 高橋京太郎難波一輝千葉大
(15)
DC
16:50-17:15 GPU上で動作するTFHEアプリケーションのソフトエラー耐性評価およびエラー検出手法の提案 吉田正和松岡航太郎橋本昌宜京大
11月12日(火) 午後  ポスターセッション
17:15 - 18:45
(16) 17:15-18:45 ポスターセッション
【VLD】
(P-1)○永井海音・宇佐美公良(芝浦工大)
Time-to-Digital Converterを用いた低電圧駆動温度センサ回路の提案

(P-2)○本持翔大・兼本大輔・廣瀬哲也(阪大)
圧縮センシングにおける無線脳波計測を想定したSimulated Annealingの適用

(P-3)○山本智也・兼本大輔・津永亮多・廣瀬哲也(阪大)
圧縮センシングと連鎖生成雑音マスキングを活用したセキュリティシステムにおける設計手法の検証

(P-4)○野口竜弥・深田佳佑・鮑 思雅・戸川 望(早大)
1-hot制約付き問題に対する二値変数選択法を用いた部分QUBOアニーリング手法

(P-5)○岩田錦哉・多和田雅師・戸川 望(早大)
制約違反を前提としたイジングマシンと補正処理によるハイブリッド組合せ最適化手法

(P-6)○江田琉聖・戸川 望(早大)
オートエンコーダによるアプリケーション電力波形抽出を利用した電力解析に基づく異常動作検知手法

(P-7)○吉川行人・兼本大輔・廣瀬哲也(阪大)
無線脳波計のためのスケーラブルチョッパーオートゼロアンプに関する研究

(P-8)○富田 空・白井達彦(早大)・浜田道昭(産総研)・安達健朗(リボミック)・戸川 望(早大)
量子計算を用いた最適化遺伝子配列の導出

(P-9)○岩崎哲朗(京都工繊大)・佐藤高史(京大)・新谷道広(京都工繊大)
ガウス過程回帰に基づくCMOSトランジスタモデル開発手法

(P-10)○兼本大輔・瀧本英智・廣瀬哲也(阪大)
圧縮センシングにおける信号類似性の活用 ~ 省電力無線脳波計測回路システム実現に向けた取り組み ~

【DC】
(P-11)○赤松大地・四柳浩之(徳島大)・橋爪正樹(放送大)
近似乗算器用BIST内の疑似乱数回路の面積削減について

(P-12)○吉田正和・松岡航太郎・橋本昌宜(京大)
GPU上で動作するTFHEアプリケーションのソフトエラー耐性評価およびエラー検出手法の提案

(P-13)○三木勇人・四柳浩之(徳島大)・橋爪正樹(放送大)
アービターPUFを組込んだ遅延検査容易化設計について

【RECONF】
(P-14)○山崎大勝・吉田康太・福田悠太・藤野 毅(立命館大)
Wavefront array構造のDNN推論回路に対するサイドチャネル攻撃を緩和するための算術マスキングの実装と評価

(P-15)○川﨑真太郎・桑澤 玄・山口佳樹(筑波大)
FPGAにおける多倍精度浮動小数点演算の手法の検証

(P-16)○横野智也・山口佳樹(筑波大)
FPGAによる疎行列計算用CRS形式変換の高速化

(P-17)○平賀啓三(ソニーセミコンダクタ)・瀬戸謙修・飯田全広(熊本大)・別所和弘(ソニーセミコンダクタ)
eFPGA IP向け論理セルアーキテクチャのNV化の提案

【ICD】
(P-18)○三井健司・兼本大輔・廣瀬哲也(阪大)
ランダムアンダーサンプリング型圧縮センシング脳波計測システムにおける低雑音アンプの消費電力低減手法

(P-19)○南村天楽・森口悠斗・高井伸和(京都工繊大)
説明可能なAIを用いたアナログ集積回路サイジングの効率化

(P-20)○吉田知生・夏井雅典・羽生貴弘(東北大)
差分情報記憶に基づく低エネルギーMTJベース不揮発レジスタの構成

(P-21)○森口悠斗・高井伸和(京都工繊大)
ベイジアンニューラルネットワークによるパラメトリック歩留まりの推定

(P-22)○高木 遼(京都工繊大)・増渕 剛(群馬大)・髙井伸和(京都工繊大)
高次元用アルゴリズムを用いたベイズ最適化でのアナログ回路のサイジング性能比較

(P-23)○増渕 剛(群馬大)・高井伸和(京都工繊大)
ベイズ最適化によるLDOの自動サイジング

(P-24)○赤星駿介・高井伸和(京都工繊大)
Lazy Adaptive Biasによる演算増幅器の待機電力削減

(P-25)○張 啓建・梅原大祐・高井伸和(京都工繊大)
非線形増幅器を考慮したセマンティックコミュニケーションシステムの構築

(P-26)○堀川 輝・髙井伸和(京都工繊大)
ブロック構造を用いた遺伝的アルゴリズムによる論理回路トポロジー探索

(P-27)○植田達矢・土谷 亮・井上敏之・岸根桂路(滋賀県立大)
極低温状態での集積回路内配線におけるサイズ効果が遅延時間に与える影響

(P-28)○児玉隆洋(京都工繊大)
強化学習によるデジタル制御電源の制御パラメータ自動設計

【SLDM】
(P-29)○西田孔太・御堂義博・三浦典之(阪大)・川上哲志(九大)塩見 準(阪大)
光ニューラルネットワークに対する熱故障注入攻撃の実行時検知

(P-30)○西澤真一・木村晋二(早大)
Double Diffusion Break FinFET プロセスにおける面積ペナルティを軽減するセル内トランジスタ再配置法

(P-31)○兵藤 旭・神保 聡・小此木大輝・井上源太・Chu Thiem・本村 真人・川村一志(東工大)
2スピン同時フリップの並列試行により高効率な解探索を行うアニーリングプロセッ
11月13日(水) 午前  【VLD2】
09:00 - 10:15
(17)
VLD
09:00-09:25 圧縮センシングにおける信号類似性の活用 ~ 省電力無線脳波計測回路システム実現に向けた取り組み ~ 兼本大輔瀧本英智廣瀬哲也阪大
(18)
VLD
09:25-09:50 圧縮センシングにおける無線脳波計測を想定したSimulated Annealingの適用 本持翔大兼本大輔廣瀬哲也阪大
(19)
VLD
09:50-10:15 圧縮センシングを活用した無線脳波計測システムにおけるランダムアンダーサンプリング型SARADCの開発 松本拓真兼本大輔奥村 渡松原利空廣瀬哲也阪大
11月13日(水) 午前  【ICD2】
09:00 - 10:15
(20)
ICD
09:00-09:25 ベイズ最適化によるLDOの自動サイジング 増渕 剛群馬大)・高井伸和京都工繊大
(21)
ICD
09:25-09:50 高次元用アルゴリズムを用いたベイズ最適化でのアナログ回路のサイジング性能比較 高木 遼京都工繊大)・増渕 剛群馬大)・高井伸和京都工繊大
(22)
ICD
09:50-10:15 説明可能なAIを用いたアナログ集積回路サイジングの効率化 南村天楽森口悠斗高井伸和京都工繊大
  10:15-10:30 休憩 ( 15分 )
11月13日(水) 午前  【VLD3】
10:30 - 11:45
(23)
VLD
10:30-10:55 無線脳波計のためのスケーラブルチョッパーオートゼロアンプに関する研究 吉川行人兼本大輔廣瀬哲也阪大
(24)
VLD
10:55-11:20 圧縮センシングと連鎖生成雑音マスキングを活用したセキュリティシステムにおける設計手法の検証 山本智也兼本大輔津永亮多廣瀬哲也阪大
(25)
VLD
11:20-11:45 Time-to-Digital Converterを用いた低電圧駆動温度センサ回路の提案 永井海音宇佐美公良芝浦工大
11月13日(水) 午前  【ICD3】
10:30 - 11:45
(26)
ICD
10:30-10:55 ベイジアンニューラルネットワークによるパラメトリック歩留まりの推定 森口悠斗高井伸和京都工繊大
(27)
ICD
10:55-11:20 強化学習によるデジタル制御電源の制御パラメータ自動設計 児玉隆洋高井伸和京都工繊大
(28)
ICD
11:20-11:45 ブロック構造を用いた遺伝的アルゴリズムによる論理回路トポロジー探索 堀川 輝髙井伸和京都工繊大
  11:45-13:00 休憩 ( 75分 )
11月13日(水) 午後  【基調講演】ICD
13:00 - 13:50
(29)
ICD
13:00-13:50 [基調講演]超低消費電力マテリアルベースAIエッジシステムの開発 田中啓文九工大
  13:50-14:05 休憩 ( 15分 )
11月13日(水) 午後  【基調講演】RECONF
14:05 - 14:55
(30)
RECONF
14:05-14:55 [基調講演]Supporting Academic Innovation using Arm Technologies Tsung-Chih SuTakayuki YokoyamaARM
  14:55-15:10 休憩 ( 15分 )
11月13日(水) 午後  【RECONF2】
15:10 - 16:25
(31)
RECONF
15:10-15:35 マルチチャネルオーディオ用高速畳込のFPGA実装 岡﨑雅嗣ヤマハ)・川嶋龍次髙木綾祐YHD
(32)
RECONF
15:35-16:00 TDCセンサを用いたしきい値が制御可能なfDTM-PUFの基礎評価 藤本一輝福田悠太大山達哉立命館大)・堀 洋平片下敏宏産総研)・藤野 毅立命館大
(33)
RECONF
16:00-16:25 Neural ODEを用いたFPGA向け低コスト点群深層学習 安田瑞生杉浦圭祐松谷宏紀慶大
11月13日(水) 午後  【VLD4】
15:10 - 16:25
(34)
VLD
15:10-15:35 説明可能なAIを用いた精度を考慮した回路近似手法 小平行秀会津大
(35)
VLD
15:35-16:00 オートエンコーダによるアプリケーション電力波形抽出を利用した電力解析に基づく異常動作検知手法 江田琉聖戸川 望早大
(36)
VLD
16:00-16:25 ガウス過程回帰に基づくCMOSトランジスタモデル開発手法 岩崎哲朗京都工繊大)・佐藤高史京大)・新谷道広京都工繊大
  16:25-16:40 休憩 ( 15分 )
11月13日(水) 午後  【ICD4】
16:40 - 17:55
(37)
ICD
16:40-17:05 生成AIを用いたAIチップ自動設計技術の開発 芹澤靖隆松本久功日立
(38)
ICD
17:05-17:30 Lazy Adaptive Biasによる演算増幅器の待機電力削減 赤星駿介高井伸和京都工繊大
(39)
ICD
17:30-17:55 非線形増幅器を考慮したセマンティックコミュニケーションシステムの構築 張 啓建梅原大祐髙井伸和京都工繊大
11月13日(水) 午後  【SLDM1】
16:40 - 17:55
(40) 16:40-17:05 2スピン同時フリップの並列試行により高効率な解探索を行うアニーリングプロセッサ
〇兵藤 旭・神保 聡・小此木 大輝・井上 源太・Chu Thiem・本村 真人・川村 一志(東京工業大学)
(41) 17:05-17:30 Double Diffusion Break FinFET プロセスにおける面積ペナルティを軽減するセル内トランジスタ再配置法
〇西澤 真一・木村 晋二(早稲田大学)
(42) 17:30-17:55 整数量子化と近似演算に基づくSelf Attention処理回路の省面積化
〇川村 哲平・増田 豊・石原 亨(名古屋大学)
11月13日(水) 午後  懇親会
18:30 - 20:30
(43) 18:30-20:30 懇親会
11月14日(木) 午前  【SLDM2】
09:00 - 10:15
(44) 09:00-09:25 光ニューラルネットワークに対する熱故障注入攻撃の実行時検知
〇西田 孔太・御堂 義博・三浦 典之(大阪大学)・川上 哲志(九州大学)・塩見 準(大阪大学)
(45) 09:25-09:50 配線長がランダムなMUXチェーンによる 高分解能な二段階パス遅延測定法
〇加藤 健太郎・名倉 徹(福岡大学)・温 暁青(九州工業大学)・小林 春夫(群馬大学)
(46) 09:50-10:15 SATソルバを用いたテスト生成手法用の故障検出条件の表現方法
〇松永 裕(;九州大学)
11月14日(木) 午前  【RECONF3】
09:00 - 10:15
(47)
RECONF
09:00-09:25 ガウスジョルダン消去法とBiCGSTAB法による投機的実行線形ソルバを備えた単一FPGA上の電子回路シミュレータ実装とその性能評価 周東裕也大本裕真窪田昌史弘中哲夫広島市大
(48)
RECONF
09:25-09:50 eFPGA IP向け論理セルアーキテクチャのNV化の提案 平賀啓三ソニーセミコンダクタ)・瀬戸謙修飯田全広熊本大)・別所和宏ソニーセミコンダクタ
(49)
RECONF
09:50-10:15 リコンフィギュラブルハードウェアによるVPNゲートウェイの高性能化の検討 田仲顕至三浦直樹坂本 健NTT
  10:15-10:30 休憩 ( 15分 )
11月14日(木) 午前  【VLD5】
10:30 - 11:45
(50)
VLD
10:30-10:55 オープンソースEDAを用いたLSI設計とテープアウト事例 日置雅和片下敏宏堀 洋平更田裕司秋田一平産総研
(51)
VLD
10:55-11:20 設計制約を課した論理合成結果選択の妥当性に関する一考察 今井 雅弘前大
(52)
VLD
11:20-11:45 Agile-chip platformを用いた半導体教育入門用学生実験の提案と試行 天野英晴小菅 敦島本直伸最上 徹落合幸徳角 博文池田 誠三田吉郎東大
11月14日(木) 午前  【ICD5】
10:30 - 11:45
(53)
ICD
10:30-10:55 CMOSイメージセンサに適したMAC回路に用いる軽量HASHの評価 萩嵜真波小川広明大山達哉藤野 毅大倉俊介立命館大
(54)
ICD
10:55-11:20 オンチップ配線を用いた静電容量式コプレーナ型接触角センサ 福井快肇土谷 亮井上敏之岸根桂路滋賀県立大
(55)
ICD
11:20-11:45 極低温状態での集積回路内配線におけるサイズ効果が遅延時間に与える影響 植田達矢土谷 亮井上敏之岸根桂路滋賀県立大
  11:45-13:00 休憩 ( 75分 )
11月14日(木) 午後  表彰式
13:00 - 13:20
(56) 13:00-13:20 [表彰式]
11月14日(木) 午後  【招待講演】ICD
13:20 - 14:10
(57)
ICD
13:20-14:10 [招待講演]日本におけるオープンソースシリコンコミュニティの立ち上げと活動の1年 今村謙之ISHI会)・土谷 亮滋賀県立大)・久保木 猛熊本大)・森 瑞紀慶大
  14:10-14:25 休憩 ( 15分 )
11月14日(木) 午後  【招待講演】 VLD
14:25 - 15:15
(58)
VLD
14:25-15:15 [招待講演]AIの適用による設計の効率化・自動化の取り組みと現状 小田川真之日本ケイデンス・デザイン・システムズ
  15:15-15:30 休憩 ( 15分 )
11月14日(木) 午後  【VLD6】
15:30 - 17:10
(59)
VLD
15:30-15:55 1-hot制約付き問題に対する二値変数選択法を用いた部分QUBOアニーリング手法 野口竜弥深田佳佑鮑 思雅戸川 望早大
(60)
VLD
15:55-16:20 QAOAを用いた履修最適化の一検討 太田 岳深田佳佑白井達彦戸川 望早大
(61)
VLD
16:20-16:45 制約違反を前提としたイジングマシンと補正処理によるハイブリッド組合せ最適化手法 岩田錦哉多和田雅師戸川 望早大
(62)
VLD
16:45-17:10 量子計算を用いた最適化遺伝子配列の導出 富田 空白井達彦早大)・浜田道昭産総研)・安達健朗リボミック)・戸川 望早大

講演時間
一般講演発表 20 分 + 質疑応答 5 分

問合先と今後の予定
VLD VLSI設計技術研究会(VLD)   [今後の予定はこちら]
問合先 笹川 幸宏 (ソシオネクスト)
E--mail: cioxt 
お知らせ ◎VLD研究会ホームページもご覧下さい.
http://www.ieice.org/~vld/
ICD 集積回路研究会(ICD)   [今後の予定はこちら]
問合先 吉原 義昭 (キオクシア) 
DC ディペンダブルコンピューティング研究会(DC)   [今後の予定はこちら]
問合先 新井 雅之 (日本大学生産工学部)
E--mail: ain-u 
お知らせ ◎最新情報は,DC研究会ホームページを御覧下さい.
http://www.ieice.org/iss/dc/jpn/index.html
RECONF リコンフィギャラブルシステム研究会(RECONF)   [今後の予定はこちら]
問合先 委員長: 山口 佳樹 (筑波大学/熊本大学) 
お知らせ ◎RECONF研究会ホームページもご覧下さい.
http://www.ieice.org/~reconf/
◎情報交換の場として研究会公式Slackを用意しています。下記リンクから自由に参加できます。
https://join.slack.com/t/reconfworkspace/shared_invite/zt-v3qeynk3-RsInu4wdjqU2t_ysqWvagg
IPSJ-SLDM システムとLSIの設計技術研究会(IPSJ-SLDM)   [今後の予定はこちら]
問合先 岸田 亮 (富山県立大学)
E--mail: rpu- 
お知らせ ◎SLDM研究会ホームページもご覧下さい.
http://www.sig-sldm.org/


Last modified: 2024-09-25 21:56:34


ご注意: 迷惑メール対策のためメールアドレスの一部の文字を置換しております.ご了承ください.

[この開催に関する講演論文リストをダウンロードする] ※ こちらのページの最下にあるダウンロードボタンを押してください
 
[研究会資料インデックス(vol. no.ごとの表紙と目次)]
 

[研究会発表・参加方法,FAQ] ※ ご一読ください
 

[VLD研究会のスケジュールに戻る]   /   [ICD研究会のスケジュールに戻る]   /   [DC研究会のスケジュールに戻る]   /   [RECONF研究会のスケジュールに戻る]   /   [IPSJ-SLDM研究会のスケジュールに戻る]   /  
 
 トップ  戻る   前のVLD研究会 / [HTML] / [HTML(simple)] / [TEXT]  [Japanese] / [English] 


[研究会発表申込システムのトップページに戻る]

[電子情報通信学会ホームページ]


IEICE / 電子情報通信学会